• No results found

Blind Adaptive Equalization of Mismatch Errors in Time Interleaved A/D Converter System

N/A
N/A
Protected

Academic year: 2021

Share "Blind Adaptive Equalization of Mismatch Errors in Time Interleaved A/D Converter System"

Copied!
13
0
0

Loading.... (view fulltext now)

Full text

(1)

Errors in Time Interleaved A/D Converter

System

Jonas Elbornsson

,

Fredrik Gustafsson

Jan-Erik Eklund

Control & Communication

Department of Electrical Engineering

Link¨

opings universitet

, SE-581 83 Link¨

oping, Sweden

WWW:

http://www.control.isy.liu.se

E-mail:

jonas@isy.liu.se

,

fredrik@isy.liu.se

2003-01-21

AUTOMATIC CONTROL

COM

MUNICATION SYSTEMS

LINKÖPING

Report no.:

LiTH-ISY-R-2486

Submitted to IEEE Transactions on Circuits and Systems

Technical reports from the Control & Communication group in Link¨oping are available athttp://www.control.isy.liu.se/publications.

(2)

To significantly increase the sampling rate of an A/D converter (ADC), a time interleaved ADC system is a good option. The drawback of a time interleaved ADC system is that the ADCs are not exactly identical due to errors in the manufacturing process. This means that time, gain and offset mismatch errors are introduced in the ADC system. These errors cause distortion in the sampled signal.

In this paper we present a method for estimation and compensation of the mismatch errors. The estimation method requires no knowledge about the input signal except that it should be band limited to the Nyquist fre-quency for the complete ADC system. This means that the errors can be estimated while the ADC is running. The method is also adaptive to slow changes in the mismatch errors. The estimation method has been vali-dated with simulations and measurements from a time interleaved ADC system.

Keywords: A/D conversion, nonuniform sampling, equalization, estimation

(3)

Blind Adaptive Equalization of Mismatch Errors in

Time Interleaved A/D Converter System

Jonas Elbornsson, Fredrik Gustafsson, Jan-Erik Eklund

Abstract— To significantly increase the sampling rate of an A/D

converter (ADC), a time interleaved ADC system is a good option. The drawback of a time interleaved ADC system is that the ADCs are not exactly identical due to errors in the manufacturing process. This means that time, gain and offset mismatch errors are introduced in the ADC system. These errors cause distortion in the sampled signal.

In this paper we present a method for estimation and compen-sation of the mismatch errors. The estimation method requires no knowledge about the input signal except that it should be band limited to the Nyquist frequency for the complete ADC system. This means that the errors can be estimated while the ADC is running. The method is also adaptive to slow changes in the mismatch errors. The estimation method has been validated with simulations and measurements from a time interleaved ADC system.

Index Terms— A/D conversion, nonuniform sampling,

equal-ization, estimation

I. INTRODUCTION

T

HERE is an ever increasing need for faster A/D con-verters (ADCs) in modern communications technology, such as radio base stations or VDSL modems. To achieve high enough sample rates, an array of M ADCs, interleaved in time, can be used [1], [2], see Figure 1. The time interleaved ADC system works as follows:

The input signal is connected to all the ADCs.

delay, Ts sampling ADC0 ADC1 ADC2 ADCM−1 u clock y0 y1 y2 yM−1 y M U X

Fig. 1. A time interleaved ADC system. M parallel ADCs are used with the same master clock. The clock is delayed by the nominal sampling interval to each ADC. The outputs are then multiplexed together to form a signal sampled M times faster than the output from each ADC.

Each ADC works with a sampling interval of M Ts, where M is the number of ADCs in the array and Ts is the

desired sampling interval.

The clock signal to the ith ADC is delayed with iTs. This

gives an overall sampling interval of Ts.

The drawback with the interleaved structure is that, due to the manufacturing process, all the ADCs are not identical and mismatch errors are introduced into the system. Three kinds of mismatch errors are introduced:

Time errors (static jitter)

The delay times of the clock between the different ADCs are not equal. This means that the signal will be periodically but non-uniformly sampled.

Amplitude offset errors

The ground level differs between the different ADCs. This means that there is a constant amplitude offset in each ADC.

Gain errors

The gain, from analog input to digital output, differs between the different ADCs.

The errors listed above are static or slowly varying. This means here that the errors can be assumed to be constant for the same ADC from one cycle to the next over an interval of some million samples.

With a sinusoidal input, the mismatch errors can be seen in the output spectrum as non harmonic distortion. With input signal frequency ω0, the gain and time errors cause distortion

at the frequencies

i

Mωs± ω0, i = 1, . . . , M− 1

where ωs is the sampling frequency. The offset errors cause

distortion at the frequencies

i

Mωs, i = 1, . . . , M− 1

An example of an output spectrum from an interleaved ADC system with four ADCs with sinusoidal input signal is shown in Figure 2. This distortion causes problems for instance in a radio receiver where a weak carrier cannot be distinguished from the mismatch distortion from a strong carrier. It is therefore important to remove the mismatch errors. However, calibration of an ADC system is time consuming and costly. Furthermore the mismatch errors may change slowly with for instance temperature and aging. Therefore we want to estimate the mismatch errors while the ADC is used. Methods for esti-mation of timing errors have been published in for instance [3] and [4]. These methods require a known calibration signal, which means that the operation of the ADC must be stopped

(4)

0 1 2 3 4 5 6 −50 −40 −30 −20 −10 0 10 20 30 40 50

Normalized angular frequency

Signal power [dB]

ADC output spectrum

signal component offset error distortion time and gain error distortion

Fig. 2. Simulated output spectrum from interleaved ADC system with four ADCs. The input signal is a single sinusoid. The distortion is caused by mismatch errors.

during calibration. A blind time error estimation method was presented in [5] and validated on measurements in [6]. This method works well, but gives a bias error in the time error estimates. A blind amplitude offset error estimation method was presented in [7].

We will in this paper present a method for blind equalization of the time, gain and offset mismatch errors in a time inter-leaved ADC system. The estimation method requires only that the input signal is band limited to the Nyquist frequency, for the complete ADC system. This method gives no bias in the estimates. The time error estimation part is an improvement of the method in [5]. The time error estimation part is presented in more detail in [8] and [9]. The amplitude error estimation part is a variant of the method in [7].

Apart from the static errors listed before, there are also ran-dom errors due to for instance thermal noise and quantization, which are different from one sample to the next. These errors do not have anything to do with the parallel structure of the ADC and are impossible to estimate because of their random behavior. However, the random errors are important to study for the robustness of the estimation algorithm, and to calculate lower bounds on the estimation accuracy. The random errors in an A/D converter that are discussed in this paper are

Quantization noise

This is a deterministic error, if the input signal is known. But for most signals it can be treated as additive white noise uncorrelated with the input signal and with uniform distribution [10].

Random jitter

Due to noise in the clock signal there is a random error on the sampling instances [11]. These errors can be treated as Gaussian white noise on the sampling instances.

II. NOTATION ANDDEFINITIONS

We will in this section introduce the notation that will be used in this paper. The nominal sampling interval, that we

would have without time errors, is denoted Ts. M denotes

the number of ADCs in the time interleaved array, which means that the sampling interval for each ADC is M Ts.

The time, amplitude, and gain error parameters are denoted ∆ti, ∆oi and ∆gi, i = 0, . . . , M− 1 respectively. The

esti-mates of these errors are denoted ˆ∆ti, ˆoi and ˆ∆gi

respec-tively, and the true errors are denoted ∆0ti, ∆0

oi and ∆ 0

gi. The

vector notation ∆t= [∆t0· · · ∆tM−1] is used for all the time

error parameters. The other parameters are denoted similarly. We use the following notation for the signals involved:

u(t) is the analog input signal.

u[k] denotes the ideal signal, sampled without mismatch

errors.

ui[k], i = 0, . . . , M− 1 denotes the M subsequences of u[k],

ui[k] = u[kM + i]. (1)

yi[k] i = 0, . . . , M− 1 are the output subsequences from

the M A/D converters, sampled with time errors.

yi[k] = 1 + ∆0gi  u (kM + i)Ts+ ∆0ti+ e jitter i [k]  + ei[k] + ∆0oi (2)

Here ejitteri [k] is the random jitter and ei[k] is

quantiza-tion noise.

y[k] is the multiplexed output signal from all the ADCs, y[k] = y(kmodM )  k M  ,

where b·c denotes integer part.

z(∆t,∆o,∆g)[k] denote the output signal, y[k],

recon-structed with the error parameters, ∆t, ∆o and ∆g. z(∆t,∆o,∆g)

i [k] are the subsequences of z(∆t,∆o,∆g)[k]

We assume throughout this paper that u(t) is band limited to the Nyquist frequency of the complete ADC system.

We will next establish a few definitions which will be used later in the paper. A discrete time signal u[k] is said to be quasi-stationary [12] if ¯ mu= lim N→∞ 1 N N X k=1 E(u[k]) ¯ Ru[n] = lim N→∞ 1 N N X n=1 E(u[k + n]u[k])

exist, where the expectation is taken over possible stochastic parts of the signal. Analogously, a continuous time signal u(t) is quasi-stationary if ¯ mu= lim T→∞ 1 T Z T 0 E(u(t))dt ¯ Ru(τ ) = lim T→∞ 1 T Z T 0 E(u(t + τ )u(t))dt

exist. A stationary stochastic process is quasi-stationary, with ¯

mu and ¯Ru[n] being the mean value and covariance function

(5)

Definition 1 (Modulo M quasi-stationary): Assume ¯ gui1,ui2,···= limN→∞ 1 N N X t=1 g(ui1[t], ui2[t], . . . ) i1, i2,· · · = 0, . . . , M − 1

exists for a function g(·, ·, · · · ). Then u is modulo M quasi-stationary with respect to g if

¯

gi1,i2,···= ¯g{(i1+l)modM,(i2+l)modM,··· }

∀l ∈ {. . . , −1, 0, 1, . . . }

The modulo M quasi-stationarity property guarantees that the input signal has the same statistical properties for all the ADCs in the time interleaved system. We will next give an example to give some intuition to modulo M quasi-stationarity. Consider for instance the function g(ui[t]) = ui[t]. The modulo M

quasi-stationary property then means that the mean value should be equal for all subsequencies, i.e., if

mi = lim N→∞ 1 N N X k=1 ui[k] then mi= mj, for i, j = 0, . . . , M− 1.

In this example this is true for most quasi-stationary signals, but some periodic signals are not modulo M quasi-stationary. Consider the function

u[k] = cos(πk) and M = 2. Then m0= lim N→∞ 1 N N X k=1 cos(2πk) = 1 and m1= lim N→∞ 1 N N X k=1 cos(2πk + π) =−1 i.e., this signal is not modulo 2 quasi-stationary.

We use further the following notation for the mean square and mean square difference of a quasi-stationary signal

¯ σu2= lim N→∞ 1 N N X k=1 E{u2[k]} ¯ Rui,uj[l] = lim N→∞ 1 N N X k=1 E  u(imodM )  k + i M  + l − u(jmodM )  k + j M 2 . (3)

The following notation is used to simplify the expressions involving the reconstructed signals.

¯

m(∆o,∆g,∆t)

zi = ¯mz(∆o,∆g ,∆t) i

σ2zi)(∆o,∆g,∆t)= ¯σ2

z(∆Ai,∆gi ,∆ti )

i ¯ R(∆t,∆o,∆g) zi,zj [l] = Rz(∆t,∆o,∆g ) i ,z (∆t,∆o,∆g ) j [l]

III. SIGNALRECONSTRUCTION

If all the error parameters are known, and the input signal

u(t) is band limited to the Nyquist frequency, u(t) can be

exactly reconstructed from the sampled signal y[k]. We will in this section describe how the different errors can be removed. A. Amplitude offset errors

The amplitude offset errors are removed by subtracting the offset error parameters from the respective subsequences:

For i = 0, . . . , M− 1 z(∆0o) i [k] = yi[k]− ∆0oi = (1 + ∆0gi)u((kM + i)Ts+ ∆0ti+ e jitter i [k]) + ei[k] (4) B. Gain errors

The gain errors can be removed, after the offset errors are removed, by dividing the subsequences by the respective ADC gain. For i = 0, . . . , M− 1 z(∆ 0 A,∆ 0 g) i [k] = z(∆0A) i [k] 1 + ∆0 gi = u((kM + i)Ts+ ∆0ti+ e jitter i [k]) + ei[k] (5) C. Time errors

The time errors can be compensated for by many different interpolation techniques, for instance splines [13] or polyno-mial interpolation. We will here describe a method for exact interpolation by filtering the signal with a non-causal IIR filter. If the input signal is band limited to the Nyquist frequency,Tπ

s,

and the time error parameters are known, the input signal can be perfectly reconstructed from the irregular samples [14]. In a real application the interpolation is of course approximate since we cannot use a filter of infinite length, but we can come arbitrarily close to the exact interpolation by choosing the length of the filter large enough. In [14] the interpolation is done at an arbitrary time instance. If we only need to reconstruct the signal at the nominal sampling instances

t = (kM + l)Ts, l = 0, . . . , M− 1 k = . . . ,−1, 0, 1, . . .

the reconstruction can be simplified. The simplified recon-struction will be described here. The time errors are here re-parameterized as

αi= M− 1

2 + i + ∆ti, i = 0, . . . , M− 1

α = [ α0 α1 · · · αM−1 ]

to simplify the notation.

In the frequency domain the interpolation is done by

Z(∆

0

t)

l (ejωM Ts) = YT(ejωM Ts)H(l)(ejωM Ts, α) (6) l = 0, . . . , M− 1

(6)

Here Y (ejωM Ts) and H(l)(ejωM Ts, α0) are M -dimensional

vectors for each frequency ω. Y (ejωM Ts) consists of the

Fourier transform of the output subsequences

YT(ejωM Ts) = Y

0(ejωM Ts) · · · YM−1(ejωM Ts)

 The filter is given by

H(l)(ejωM Ts, α) = M TsE−1(ω− π Ts , α)A−1(α)BlejωlTs(−1)l where A(α) =      1 · · · 1 ejα0M Ts · · · ejαM−1M Ts2π .. . . .. ... ejα0(M−1)M Ts · · · ejαM−1(M−1) M Ts      E(ω, α) =      ejα0ω 0 · · · 0 0 ejα1ω · · · 0 .. . ... . .. ... 0 0 · · · ejαM−1ω      and Bl=  1 ej2πl/M · · · ej2π(M−1)l/M T

The Fourier transform of the time error compensated sig-nal, Z(∆0t)(ejωTs), can then be calculated from its

subse-quences [15] Z(∆0t)(ejωTs) = MX−1 l=0 Z(∆ 0 t) l (ej(ωM Ts mod 2π))e−jlωTs (7)

With the inverse Fourier transform we get the time error reconstructed signal

z(∆0t)[k] = IT DF T (Z(∆0t)(ejωTs)) (8)

IV. MISMATCHERRORESTIMATION

We will in this section discuss how the three different error types can be estimated by minimization of different loss functions. A loss function is a function that can be calculated from the measured data and depends on a set of parameters, here the mismatch error parameters. The loss function should be strictly positive except for the true parameters, where it should be zero. This means that by minimizing the loss function we can get an estimate of the parameters. It is also an advantage if the loss function is convex, since it makes numerical minimization more robust.

We will first discuss the different errors separately and then put the three parts together to estimate all errors simultane-ously. We only study the dynamic performance of the ADC system. This means that it is not important that for instance the amplitude offset is zero as long as it is the same for all the ADCs in the system. Therefore the reference level can be chosen arbitrarily and we will in the following assume that all the errors are zero in the first ADC, ∆0o0 = ∆0g0 = ∆

0

t0 = 0, i.e., all the other errors are relative to the offset, gain and time errors in the first ADC.

A. Amplitude Offset Error Estimation

The idea for estimation of the offset errors is that the mean value of the output from each ADC corresponds to the respective offset errors [7]. We assume first that the time and gain errors are zero, then the influence of time and gain errors will be discussed. We have now the signal model

yi[k] = u((kM + i)Ts) + ∆0oi

Assume that u[k] is quasi-stationary and modulo M quasista-tionary with respect to g(ui) = ui. Then the mean value of

the input is the same for all subsequences and ¯

myi= ¯mu+ ∆ 0

oi

Introduce the amplitude offset loss function

Vo(N )(∆o) = MX−1 i=1 i−1 X j=0  1 N N X k=1 zi(∆oi)[k]− zj(∆oj)[k] 2 . (9)

Letting N tend to infinity in (9) gives

Vo(∞)(∆o) = M−1X i=1 i−1 X j=0  ∆0oi− ∆oi+ ∆ 0 oj − ∆oj 2 (10)

From (10), we can clearly see that

Vo(∞)(∆0o) = 0.

It can also be shown that ∆o= ∆0o is the only minimum and

that Vo(∞)(∆o) is quadratic. This mean that the minimizing

argument of Vo(N )(∆o) will converge to the true offset

pa-rameters. Since there are no local minima any minimization algorithm will converge to the global minimum.

1) Random chopper: With a random chopper [7] we can guarantee the the signal is modulo M quasi-stationary with respect to g(ui) = uiand zero mean. Random chopping means

that the input signal is multiplied with a pseudo binary random sequence (PRBS) of +1 and −1 before A/D conversion. The digital output signal is then multiplied with the same sequence, to reconstruct the signal, see Figure 3.

PRBS generator

ADC system clock

Fig. 3. Random chopper: the input and output signals are multiplied with the same pseudo random signal, thus giving a input signal to the ADC that is guaranteed to be modulo M quasistationary with respect to g(ui) = ui.

(7)

2) Influence of Gain and Time Errors: Using the full signal model (2) in the offset error loss function (9) and assuming that mu= 0 gives Vo(∞)(∆o) = MX−1 i=1 i−1 X j=0  ∆0oi− ∆oi+ ∆ 0 oj − ∆oj 2

when N → ∞. This means that the offset error estimates converge to the correct values, even if there are gain or time errors, or noise present in the signal.

B. Gain Error Estimation

The idea for estimation of the gain errors is that the variance of the output from each ADC corresponds to the respective gain of the ADC. We first assume that the time and offset errors are zero, then the influence of time and offset errors will be discussed. The signal model is now

yi[k] = (1 + ∆0gi)u((kM + i)Ts)

Assume that u[k] is stationary and modulo M quasi-stationary with respect to g(ui) = u2i. Then the mean square

value of the input is the same for all subsequences and ¯

σy2i = (1 + ∆0gi)2σ¯u2 Introduce the gain error loss function

Vg(N )(∆g) = MX−1 i=1 i−1 X j=0  1 N N X k=1 z(∆gi) i [k] 2 − z(∆gj) j [k] 22 . (11) Letting N tend to infinity in (11) gives

Vg(∞)(∆g, ∆o) = MX−1 i=1 i−1 X j=0  lim N→∞ 1 N N X k=1  1 + ∆0 gi 1 + ∆gi 2 u2((kM + i)Ts)  1 + ∆0gj 1 + ∆gj 2 u2((kM + i)Ts) 2 = MX−1 i=1 i−1 X j=0  1 + ∆0 gi 1 + ∆gi 2 1 + ∆0 gj 1 + ∆gj 22 E(u2)2 (12) From (12), we can clearly see that

Vg(∞)(∆0g) = 0.

We can also show that Vg(∞)(∆g) > 0 if ∆g 6= ∆0g, which

means that the minimum at ∆g= ∆0gis the global minimum.

The gain error loss function is not convex, but simulations still show good performance.

1) Influence of Offset and Time Errors: Using the full signal model (2) in the gain error loss function (11) and assuming that mu= 0 gives Vg(∞)(∆g) = MX−1 i=1 i−1 X j=0  1 + ∆0 gi 1 + ∆gi 2 1 + ∆0 gj 1 + ∆gj 2 (σu2+ σ2e) +(∆ 0 oi− ∆oi) 2 (1 + ∆gi)2 (∆ 0 oj − ∆oj) 2 (1 + ∆gj)2 2

when N→ ∞. Here we can see that the gain error estimates will be biased until the offset error estimates have converged. However, since the offset error estimates are unaffected by the gain errors, this is not a problem. The time errors and noise do not influence the gain error estimates.

C. Time Error Estimation

The idea for the time error estimation is to study the mean square difference between the outputs of adjacent ADCs. Assuming that the input signal is band limited to the Nyquist frequency, the signal cannot change arbitrarily fast. If the time interval between two ADCs is shorter than Ts the signal will

change less on average between the samples compared to a time difference of Ts and vice versa if the time interval is

longer than Ts. In Figure 4 this is illustrated for a dual ADC system. We assume first that the offset and gain errors are zero, then the influence of offset and gain errors are discussed. The signal model is now

yi[k] = u((kM + i)Ts+ ∆ti)

Assume that u[k] is stationary and modulo M quasi-stationary with respect to g(ui, ui−1) = (ui− ui−1)2. The

mean squared difference between the output of adjacent ADCs is now, when N tends to infinity

¯ Ry(∞)i,yi−1[0] = lim N→∞ 1 N N X k=1  yi[k]− yi−1[k] 2 = 2 σ2u− Ru(Ts+ ∆0ti− ∆ 0 ti−1)  (13) Consider the time error loss function

Vt,R(N )(∆t) = M−1X i=1 i−1 X j=0  ¯ R(N ),(∆t) zi,zi−1 [0]− R (N ),(∆t) zj,zj−1 [0] 2 (14)

Here more terms, involving ¯R(N ),(∆t)

zi,zi−1 [l], l > 0, can be added,

but from simulations we can see that the loss function above

0.5 1 1.5 2 2.5 3 −1 −0.5 0 0.5 1 ∆t=−0.3 t=0.3 ∆t=0 ∆ y 1 ∆ y 1 ∆ y 1 Too early sample

Too late sample Ideal sample

Fig. 4. The idea for time error estimation, here an example with two ADCs. If the sample of the second ADC is taken before the nominal sampling instance, the signal changes less on average between the samples, and vice versa.

(8)

is usually enough. If the reconstruction was linear in the parameters ∆tthe loss function would become

Vt,R(∞)(∆t) = 4 MX−1 i=1 i−1 X j=0  ¯ Ru(Ts+ ∆0ti− ∆ti− ∆ 0 ti−1+ ∆ti−1) − ¯Ru(Ts+ ∆0tj − ∆tj − ∆ 0 tj−1+ ∆tj−1) 2 (15) when N tends to infinity. We can see from (15) that

Vt,R(∞)(∆0

t) = 0. However, the interpolation method described

in Section III is not linear in the parameters, so the loss function evaluation (15) is exactly valid only for ∆t = ∆0t

and is only approximately true for ∆t 6= ∆0t. However,

interpolation is a continuos mapping in ∆t so it can locally

be considered as linear. Simulations show that there are local minima in the loss function Vt,R(N )(∆t). A contour plot of Vt,R(N )(∆t) is shown in Figure 5. Here M = 4 but ∆t0 and ∆t2 are fixed to there true values to generate a two-dimensional plot. The input signal is here sinusoidal. We can see that there are local minima along a line, ∆t1 − ∆t3 = constant, in this figure. However, when ∆t6= ∆0t in the interpolation, the gain

of the subsequences of the interpolated signals are changed. Consider instead the loss function

Vt,σ(N )(∆t) = MX−1 i=1 i−1 X j=0  1 N N X k=1 zi(∆ti)[k]2− zj(∆tj)[k]2 2 . (16) If we plot the same contour plot for this function, see Figure 6, we see that again there are local minima along a line. But this line, ∆t1 + ∆t3 = constant, is perpendicular to the line in Figure 5. This means that adding the two loss functions

Vt(N )(∆t) = Vt,R(N )(∆t) + Vt,σ(N )(∆t) (17)

eliminates the local minima, see Figure 7. This is just an example with a sinusoidal input, but simulation of many

−0.08 −0.06 −0.04 −0.02 0 0.02 0.04 0.06 0.08 0.1 −0.08 −0.06 −0.04 −0.02 0 0.02 0.04 0.06 0.08 0.1 ∆t 1 ∆t3 Vt,R(∆t)

Fig. 5. A contour plot of the time error loss function, Vt,R(N )(∆t), with

M = 4 and sinusoidal input. ∆t0 and ∆t2 are fixed to there true values.

different input signals with different frequency range and different values of M indicate that this loss function works for a wide range of signals.

1) Influence of Offset and Gain Errors: With the frequency domain interpolation method that we have used here it is hard to analytically calculate the corrected signal if the time error estimates are wrong. However, we can clearly see that the gain errors influence the time error loss function (17) since the second part of it compares the variance of the output signals. This means that the time error estimates will be biased until the gain error estimates have converged. However, the gain error estimates are not biased by time errors, which means that the time error estimates will eventually converge to the correct values. −0.08 −0.06 −0.04 −0.02 0 0.02 0.04 0.06 0.08 0.1 −0.08 −0.06 −0.04 −0.02 0 0.02 0.04 0.06 0.08 0.1 ∆t 1 ∆t3 Vt,σ(∆t)

Fig. 6. A contour plot of the time error loss function, Vt,σ(N )(∆t), with

M = 4 and sinusoidal input. ∆t0and ∆t2are fixed to there true values.

−0.08 −0.06 −0.04 −0.02 0 0.02 0.04 0.06 0.08 0.1 −0.08 −0.06 −0.04 −0.02 0 0.02 0.04 0.06 0.08 0.1 ∆t 1 ∆t3 Vt(∆t)

Fig. 7. A contour plot of the time error loss function, Vt(N )(∆t) =

Vt,R(N )(∆t) + Vt,σ(N )(∆t), with M = 4 and sinusoidal input. ∆t0 and ∆t2 are fixed to there true values.

(9)

D. Mismatch Error Estimation algorithm

In this section we will present an adaptive algorithm for simultaneous estimation of offset, gain and time errors. We found in Section IV-C that the the time error interpolation affects the gain of the output if the time error parameters are wrong. Further the gain and offset error loss functions are not influenced by time errors in the input signal. Therefore it is better to calculate the offset and gain error loss functions from the signal reconstructed with only offset and gain error estimates, z(∆o,∆g)[k] Vo(N )(∆o, ˆg) = MX−1 i=1 i−1 X j=0  1 N N X k=1 z(∆o, ˆg) i [k]− z (∆o, ˆg) j [k] 2 (18) and Vg(N )(∆g, ˆo) = MX−1 i=1 i−1 X j=0  1 N N X k=1 z( ˆ∆o,∆g) i [k] 2 − z( ˆ∆o,∆g) j [k] 22 (19) The time error loss function is then calculated from the signal reconstructed with all parameters, z( ˆ∆o, ˆg,∆t)[k].

Vt(N )(∆t, ˆo, ˆg) = M−1X i=1 i−1 X j=0  R(N ),( ˆo, ˆg,∆t) zi,zi−1 [0]− R (N ),( ˆo, ˆg,∆t) zj,zj−1 [0] 2 + MX−1 i=1 i−1 X j=0  1 N N X k=1 z( ˆ∆o, ˆg,∆t) i [k] 2 − z( ˆ∆o, ˆg,∆t) j [k] 22 (20) The minimizing arguments of these three loss functions give the mismatch error estimates. Since the minimizing argument cannot be calculated analytically, a numerical minimization algorithm is used. Further, the mismatch errors may change slowly with for instance temperature and aging. Therefore the parameter estimates should be adaptively updated with new data. There are many minimization algorithms available with fast convergence, for instance Newton’s method [16]. How-ever, the fast converging methods are usually computationally demanding. Therefore a stochastic gradient search method is chosen here, which has somewhat slower convergence rate than other methods but is computationally very efficient. In a stochastic gradient minimization algorithm, the parameters are updated by a step in the negative gradient direction

ˆ

(i+1)= ˆ∆(i)− µ∇V ( ˆ(i))

The magnitude of the functions Vt( ˆ∆(i)t ), Vg( ˆ∆(i)g ) and Vo( ˆ∆(i)o ) may be very different depending on the input signal.

Therefore it is hard to choose the step length µ. A normalized version of the stochastic gradient method can be used to make the choice of µ easier, for instance

ˆ

(i+1)= ˆ∆(i)− µ ∇V ( ˆ(i)) max ∇V ( ˆ(i))

To avoid taking to long steps, we can check that the loss function decreases for every iteration, and otherwise backtrack the step size until it does [16]. The next iteration is then started with doubled step length, so that the step length does not get unnecessarily small. To avoid scaling problems between the time, gain and offset error loss functions, a separate step length variable is used for each of the error types. To summarize, the adaptive equalization algorithm is given by

Algorithm 1 (Interleaved ADC equalization):

Initialization:

Choose a batch size, N , for each iteration.

Initialize the step lengths of the stochastic gradient al-gorithm, µt, µo, µg. If the order of magnitude of the

mismatch errors are known, this information can be used for the initialization.

Initialize the parameter estimates for i = 0, . . . , M− 1 ˆ ∆(0)ti = 0, ˆ∆ (0) oi = 0, ˆ∆ (0) gi = 0 Adaptation:

1) Collect a batch of N data from each ADC, yi[k], i =

0, . . . , M− 1.

2) Calculate the reconstructed signals according to (4), (5), (6), (7) and (8) z( ˆ∆ (j) o , ˆ(j)g ) i [k] and z( ˆ∆ (j) o , ˆ(j) g , ˆ(j) t ) i [k]

3) Calculate the gradients of the three loss functions,

∇V(N ) t ( ˆ∆ (j) t ),∇V (N ) o ( ˆ∆(j)o ),∇Vg(N )( ˆ∆(j)g ). The

gradi-ents can be calculated numerically by a finite difference approximation from the loss functions, or by analytically differentiating the loss functions. The loss functions are defined in (18), (19) and (20).

4) Update the parameter estimates ˆ ∆(j+1)t = ˆ∆(j)t − µt ∇V (N ) t ( ˆ∆ (j) t ) max|∇Vt(N )( ˆ∆(j)t )| ˆ ∆(j+1)o = ˆ∆(j)o − µo ∇V (N ) o ( ˆ∆(j)o ) max|∇Vo(N )( ˆ∆(j)o )| ˆ ∆(j+1)g = ˆ∆(j)g − µg ∇V (N ) g ( ˆ∆(j)g ) max|∇Vg(N )( ˆ∆(j)g )|

5) If any of the loss functions have increased since last iteration

Vt(N )( ˆ∆(j+1)t ) > Vt(N )( ˆ∆(j)t )

Vo(N )( ˆ∆(j+1)o ) > Vo(N )( ˆ∆(j)o ) or

Vg(N )( ˆ∆(j+1)g ) > Vg(N )( ˆ∆(j)g )

backtrack the corresponding step size µ := µ/2 and change the corresponding parameter estimate in point 4) until the loss function decreases. Otherwise double

(10)

the step lengths for the next iteration: µt:= 2µt, µo:=

2µo, µg:= 2µg.

6) Return to point 1).

Figure 8 illustrates the operation of the adaptive equalization algorithm.

V. SIMULATIONS

To evaluate the performance of the mismatch error esti-mation method, a time interleaved ADC system has been simulated. An example of a spectrum of the output of an ADC with sinusoidal input before and after mismatch error estimation and correction is shown in Figure 9. After correc-tion the mismatch distorcorrec-tion cannot be seen above the noise floor. In Figure 10 an example of the convergence of the time error estimates is shown. We can see in this figure that the parameters converge in about 20 iterations. The simulation is here done with four ADCs and 214 samples per batch. One iteration was done on each batch. The offset and gain errors show similar convergence.

The Cramer-Rao Bound (CRB) [12] is a lower bound, independent of the estimation method, on how good the estimation accuracy can be, given an amount of data. In the following simulations the estimation accuracy is compared to the CRB. We can not reach the CRB since the CRB is calculated assuming known input, but it is still interesting to study how close we can get to the CRB. To compare the estimation accuracy with the CRB the minimization has been done on one batch of data instead of updating with new data for each iteration. The estimation algorithm has been tested with different input signals and different signal parameters have been varied. One parameter at a time is changed according to the following list. The default value, used when other parameters are changed, is given inside parentheses.

Sinusoidal input signal

– Angular frequency: ω0∈ [0.01, 3.1] (ω0= 1).

– Number of data per ADC: N ∈ [23, 216] (N = 214).

– Number of ADCs: M ∈ [2, 16] (M = 4).

– Quantization noise, given as number of bits: n = [2, 16] (n = 10).

– Jitter variance: σ2

jitter∈ [0, 1] (σ2jitter= 0). Multisine input signal

– Maximum angular frequency: ω0∈ [0.01, 3.1] (ω0=

1).

– Number of tones: L∈ [2, 256] (L = 64).

Low pass filtered white noise

– Cut off frequency: ω0∈ [0.01, 3.1].

Band pass filtered white noise, band width 10% of cut off frequency

– Cut off frequency: ω0∈ [0.01, 3.1].

The true mismatch error parameters have been generated randomly from uniform distributions

For i = 1, . . . , M− 1 ∆0oi ∈ U[−0.1¯σu, 0.1¯σu] ∆0gi∈ U[−0.1, 0.1] ∆0ti∈ U[−0.1Ts, 0.1Ts]

The standard deviation of the parameter estimation errors have been calculated from 25 Monte-Carlo simulations for each case in the list above. In Figure 11 the root mean square of the offset errors is shown, as a function of the number of data,

N . The input signal is here sinusoidal with input frequency ω0 = 1. For large values of N the simulated parameter

standard deviation is about a factor of 10 above the CRB. The Figures 12 and 13 shows the same simulations, but with the results for gain and time errors respectively. In Figure 14 the

ADC0 ADC1 ADCM−1 u clock y0 y1 yM−1 z( ˆ∆t, ˆo, ˆg) 0 z( ˆ∆t, ˆo, ˆg) 1 z( ˆ∆t, ˆo, ˆg) M−1 z( ˆ∆t, ˆo, ˆg) z( ˆ∆o, ˆg) 0 z( ˆ∆o, ˆg) 1 z( ˆ∆o, ˆg) M−1 M U X

Error estimation algorithm delay, Ts ˆ ∆t C o o r r e c t i n ˆ ∆t ˆ ∆o0 ˆ ∆o1 ˆ ∆oM−1 1 + ˆ∆g0 1 + ˆ∆g1 1 + ˆ∆gM−1 + + + / / / + + +

Fig. 8. Time interleaved ADC system with mismatch errors. The mismatch errors, ˆ∆t, ˆo, ˆg, are estimated by a blind adaptive algorithm. The estimated offset errors are first subtracted from the output subsequences. Next the offset compensated signals are divided by the estimated channel gains. Finally the offset and gain compensated signals are corrected with the estimated time errors.

(11)

0 1 2 3 4 5 6 10−3 10−2 10−1 100 101 102 103 104

Signal spectrum before correction

(a) 0 1 2 3 4 5 6 10−3 10−2 10−1 100 101 102 103 104

Signal spectrum after correction

(b)

Fig. 9. (a) The output spectrum of an interleaved ADC system with mismatch errors. (b) The same spectrum after compensation with estimated mismatch error parameters. The parameters were estimated from 214samples per ADC.

estimation error is shown with varying input signal frequency instead. We can see here that the estimation works well even close to the Nyquist frequency.

VI. MEASUREMENTS

To validate the estimation method, the algorithm has been tested on measured data from a time interleaved A/D converter system. The following parameters were used in the measure-ments

16 parallel 12-bit ADCs.

Sampling frequency, fs= 5M Hz.

Sinusoidal input signal with frequencies between 0.31M Hz and 2.2M Hz.

213 samples per ADC in each batch of data.

0 20 40 60 80 100 10−6 10−5 10−4 10−3 10−2 10−1 Iteration number Estimation error

Time error estimation convergence

Fig. 10. Convergence of time error parameter estimates for ADC system with four ADC (three parameters). The estimation error is here shown in fractions of Ts. 100 101 102 103 104 105 10−6 10−5 10−4 10−3 10−2 10−1 Number of data, N

Offset estimation error

MC offset error CRB offset error w/o estimation

Fig. 11. Offset estimation error as a function of the number of estimation data compared to the CRB. The simulated values are calculated from 25 Monte Carlo simulations. 100 101 102 103 104 105 10−6 10−5 10−4 10−3 10−2 10−1 Number of data, N

Gain estimation error

MC gain error CRB gain error w/o estimation

Fig. 12. Gain estimation error as a function of the number of estimation data compared to the CRB. The simulated values are calculated from 25 Monte Carlo simulations.

(12)

100 101 102 103 104 105 10−6 10−5 10−4 10−3 10−2 10−1 Number of data, N

Time estimation error

MC time error CRB time error w/o estimation

Fig. 13. Time estimation error as a function of the number of estimation data compared to the CRB. The simulated values are calculated from 25 Monte Carlo simulations. 10−2 10−1 100 101 10−6 10−5 10−4 10−3 10−2 10−1 Nyquist

Input signal angular frequency, ω0

Time estimation error

MC time error CRB time error w/o estimation

Fig. 14. Time estimation error as a function of input signal frequency compared to the CRB. The simulated values are calculated from 25 Monte Carlo simulations.

The signal generator is not perfect, which means that there is some harmonic distortion in the output spectrum. There are also other errors, besides the mismatch errors, in the ADCs. An example of an output spectrum is shown in Figure 15. Here we see that the mismatch distortion is small compared to the other distortion. Therefore SFDR or SNDR is not useful to measure the improvement after compensation for mismatch errors. Instead we study the improvement of the frequency components caused by the mismatch errors. In Figure 16 the same spectrum is shown after compensation with estimated mismatch parameters. The mismatch distortion is here no longer visible above the noise floor. To validate the mismatch error estimation algorithm a parameter estimate was calculated for each input signal frequency and all signals were then compensated with each estimate. In Figure 17 the mean im-provement of the offset error distortion components is shown for the estimates calculated from the signals at 0.31M Hz,

0 0.5 1 1.5 2 2.5 0 20 40 60 80 100 120 Frequency [MHz] Signal component Offset error distortion Time and gain error distortion

Fig. 15. Output spectrum from ADC measurement. The signal component is marked by ’o’, the offset error distortion is marked by ’x’ and the gain error distortion is marked by ’*’. 0 0.5 1 1.5 2 2.5 0 20 40 60 80 100 120 Frequency [MHz] Signal component Offset error distortion Time and gain error distortion

Fig. 16. Output spectrum from ADC measurement after compensation with estimated mismatch errors. Here the mismatch distortion is no longer visible above the noise floor.

0.63M Hz and 2.2M Hz. The improvement is almost constant around 30dB for all frequencies, which indicates that the mismatch errors are constant independent of input signal. In Figure 18 the mean improvement of the gain and time error distortion components is shown. Since the sampling frequency is quite low, the time errors relative to the sampling interval are very small. This means that the time error distortion is very small, especially for low frequency signals, and therefore cannot be improved much. But we still see some improvement after the time error compensation.

VII. CONCLUSION

A time interleaved ADC system is a good option to significantly increase the sampling rate of A/D conversion. However, due to errors in the manufacturing process, the ADCs in the time interleaved system are not exactly identical.

(13)

0 0.5 1 1.5 2 2.5 0 5 10 15 20 25 30 35 40

Input signal frequency [MHz]

Improvement [dB]

Estimated at 0.31MHz Estimated at 0.63MHz Estimated at 2.2MHz

Fig. 17. Offset error distortion improvement. The improvement is shown for three sets of estimated parameters, estimated from sinusoidal signals with frequencies 0.31M Hz, 0.63M Hz and 2.2M Hz. 0 0.5 1 1.5 2 2.5 0 5 10 15 20 25 30 35 40 45 50

Input signal frequency [MHz]

Improvement [dB]

Estimated at 0.31MHz Estimated at 0.63MHz Estimated at 2.2MHz

Fig. 18. Gain and time error distortion improvement. The improvement is shown for three sets of estimated parameters, estimated from sinusoidal signals with frequencies 0.31M Hz, 0.63M Hz and 2.2M Hz. The curves marked with ’x’ show the improvement after compensation with only the gain error parameters and the curves marked with ’o’ show the improvement after compensation with both gain and time error parameters.

This means that mismatch errors in time, gain and offset are introduced. The mismatch errors cause distortion in the sampled signal. Calibration of ADCs is time consuming and costly. Further, the mismatch errors may change slowly with for instance temperature and aging. Therefore it is preferable to continuously estimate the mismatch errors while the ADC is used.

We have in this paper presented a method for estimation and compensation of the mismatch error in a time interleaved ADC system. The estimation method is blind, so that it does not require any information about the input signal, except that it should be band limited to the Nyquist frequency of the complete ADC system. The method is also adaptive, so the estimates are updated if the mismatch errors change slowly. The method gives unbiased estimates, so that the estimation

accuracy can be made arbitrarily good by increasing the amount of estimation data.

A lower bound on how good the mismatch error parameters can be estimated, the Cramer-Rao bound (CRB), has also been calculated. Simulations show that the estimate come rather close to the CRB although the CRB is calculated assuming known input. The estimation method has also been verified on measurements from a time interleaved ADC system with 16 ADCs.

REFERENCES

[1] W. Black and D. Hodges, “Time interleaved converter arrays,” IEEE

Journal of Solid-State Circuits, vol. SC-15, no. 6, pp. 1022–1029,

December 1980.

[2] Y.-C. Jenq, “Digital spectra of nonuniformly sampled signals: A robust sampling time offset estimation algorithm for ultra high-speed waveform digitizers using interleaving,” IEEE Transactions on Instrumentation and

Measurement, vol. 39, no. 1, pp. 71–75, February 1990.

[3] J. Corcoran, “Timing and amplitude error estimation for time-interleaved analog-to-digital converters,” October 1992, US Patent nr. 5,294,926. [4] H. Jin and E. Lee, “A digital-background calibration technique for

min-imizing timing-error effects in time-interleaved ADC’s,” IEEE

Transac-tions on Cicuits and Systems, vol. 47, no. 7, pp. 603–613, July 2000.

[5] J. Elbornsson and J.-E. Eklund, “Blind estimation of timing errors in interleaved AD converters,” in Proc. ICASSP 2001, vol. 6. IEEE, 2001, pp. 3913–3916.

[6] J. Elbornsson, K. Folkesson, and J.-E. Eklund, “Measurement verifi-cation of estimation method for time errors in a time-interleaved A/D converter system,” in Proc. ISCAS 2002. IEEE, 2002.

[7] J.-E. Eklund and F. Gustafsson, “Digital offset compensation of time-interleaved ADC using random chopper sampling,” in IEEE

Interna-tional Symposium on Circuits and Systems, vol. 3, 2000, pp. 447–450.

[8] J. Elbornsson, F. Gustafsson, and J.-E. Eklund, “Equalization of time errors in time interleaved ADC system –Part I: Theory,” 2003, to be submitted to IEEE Transactions on Signal Processing.

[9] ——, “Equalization of time errors in time interleaved ADC system –Part II: Analysis and examples,” 2003, to be submitted to IEEE Transactions on Signal Processing.

[10] B. Widrow, I. Kollar, and M.-C. Liu, “Statistical theory of quantization,”

IEEE Transactions on Instrumentation and Measurement, vol. 45, no. 2,

pp. 353–361, April 1996.

[11] R. van de Plassche, Integrated Analog-to-Digital and Digital-to-Analog

Converters. Kluwer Academic Publishers, 1994.

[12] L. Ljung, System Identification, Theory for the user, 2nd ed. Prentice-Hall, 1999.

[13] M. Unser, “Splines –a perfect fit for signal and image processing,” IEEE

Signal Processing Magazine, pp. 22–38, November 1999.

[14] A. Papoulis, Signal Analysis. McGraw-Hill, 1977.

[15] F. Gustafsson, L. Ljung, and M. Millnert, Digital Signalbehandling. Studentlitteratur, 2001, in Swedish.

[16] J. Dennis and R. Schnabel, Numerical Methods for Unconstrained

References

Related documents

The purpose of this dissertation is to explore platform development processes from a problem-solving perspective. Specifically, the research takes a point of

Stöden omfattar statliga lån och kreditgarantier; anstånd med skatter och avgifter; tillfälligt sänkta arbetsgivaravgifter under pandemins första fas; ökat statligt ansvar

46 Konkreta exempel skulle kunna vara främjandeinsatser för affärsänglar/affärsängelnätverk, skapa arenor där aktörer från utbuds- och efterfrågesidan kan mötas eller

Both Brazil and Sweden have made bilateral cooperation in areas of technology and innovation a top priority. It has been formalized in a series of agreements and made explicit

För att uppskatta den totala effekten av reformerna måste dock hänsyn tas till såväl samt- liga priseffekter som sammansättningseffekter, till följd av ökad försäljningsandel

The increasing availability of data and attention to services has increased the understanding of the contribution of services to innovation and productivity in

Industrial Emissions Directive, supplemented by horizontal legislation (e.g., Framework Directives on Waste and Water, Emissions Trading System, etc) and guidance on operating

För det tredje har det påståtts, att den syftar till att göra kritik till »vetenskap», ett angrepp som förefaller helt motsägas av den fjärde invändningen,