• No results found

Moment 2: Kombinatorisk logik

N/A
N/A
Protected

Academic year: 2021

Share "Moment 2: Kombinatorisk logik"

Copied!
1
0
0

Loading.... (view fulltext now)

Full text

(1)

Övningsuppgifter i Digitalteknik 3p (ETEA17)

Moment 2: Kombinatorisk logik

Ö2.1 För den logiska funktionen f(u,v,w) = uvw’ + uv, ta fram:

a) sanningstabell

b) samtliga min- och max-termer

Ö2.2 Skriv funktionen som är given i sanningstabellen på PS-normalform.

Ö2.3 Ta fram ett uttryck som är förenklat så långt som möjligt för funktionen given i sanningstabellen i Ö2.2.

Ö2.4 Förenkla följande uttryck med Karnaugh-diagram:

f(a,b,c) = abc + a’bc’ + ab’c + abc + a’b’c

Ö2.5 Använd ett Karnaugh-diagram för att förenkla följande funktion:

f(x,y,z,w) = (0,1,3,4,6,7,12,13,14)

Ö2.6 Bestäm det minimala uttrycket på summa-av-produktform för

(1,4,5) + d(2,3,6,7,8,9,12,13)

Ö2.7 Bestäm minimala uttrycket på produkt-av-summa form för funktionen given i Ö2.6.

Ö2.8 Konstruera ett grindnät för funktionen (1,4,6,7,13) som motsvarar uttrycket för dess minimala summa-av-produkt.

Ö2.9 Konstruera ett grindnät med enbart NAND-grindar för funktionen f = w’x’y’z + wxy’z + w’xy +w’xz’

Ö2.10 Konstruera ett krets som med fyra ingångar kontrollerar om fler än en av dessa ingångar är 1. Om fler än en är 1 så ska utgången sättas till 1. Om en eller ingen av ingångarna är 1 ska utgången sättas till 0.

A B C G(a,b,c)

0 0 0 1

0 0 1 1

0 1 0 0

0 1 1 0

1 0 0 1

1 0 1 1

1 1 0 0

1 1 1 1

References

Related documents

Rita schema för tillståndsmaskinen Ö4.2 Ta fram de logiska uttrycken för utsignalfuktionen och.. nästatillståndsfunktionen för tillståndsmaskinen given av grafen

Väljer om avkodaren ska används till ’common cathode’ eller ’common anode’ display.. Copyright Bengt Oelmann 2002 21 Skapa en

Ö4.2 Konstruera en krets som ger ut ’1’ då fler än hälften av ingångarna till kretsen

[r]

I annat fall ska utgångarna följa funktionen för

Ö5.2 I ett mätsystem som visas i blockdiagrammet nedan vill man räkna hur många av mätvärdena (measured_value 8-bitar positivt tal) som ligger innanför ett specificerat intervall

z Exempel: A’BCD, A’B’C’D’, ABCD

z Är en variabel eller en logisk produkt av två eller flera variabler. z Exempel: A, A’,