• No results found

Lösningar Dugga 2 Moment 4

N/A
N/A
Protected

Academic year: 2021

Share "Lösningar Dugga 2 Moment 4"

Copied!
3
0
0

Loading.... (view fulltext now)

Full text

(1)

Lösningar Dugga 2 Moment 4

ETAA32

U2.1 a)

b) Insignalen cin saknas i sensitivitetslistan – lägg till den och det fungerar

U2.2

a) z = x0 + x1’

b) z = x0’⋅x1’⋅x2 + x0’⋅x1⋅x2’ + x0⋅x1’⋅x2’ + x0⋅x1⋅x2

c)

Ma Mc Mb Md A1 A0 0 0 0 0 - - 0 0 0 1 0 1 0 0 1 0 0 1 0 0 1 1 0 1 0 1 0 0 1 0 0 1 0 1 1 0 0 1 1 0 1 0 0 1 1 1 1 0 1 0 0 0 1 1 1 0 0 1 1 1 1 0 1 0 1 1 1 0 1 1 1 1 1 1 0 0 1 1 1 1 0 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1

a

b

cin

s

cout

(2)

Lösningar Dugga 2 Moment 4

ETAA32

Koden är ofullständigt skriven där det inte anges vad A1, A0 ska vara då samtliga ingångar är noll. Den här kretsen har funktionen av en binär prioritetsavkodare så är den

ingångskombinationen otillåten. Bortsett från det blir de logiska uttrycken:

De logiska uttrycken för A1 och A0 kan tas fram på t.ex SP normalform från sanningstabellen.

U2.3

Library IEEE;

use IEEE.STD_LOGIC_1164.all;

entity D2_3 is port (

A, B : in std_logic_vector(7 downto 0);

Z : out std_logic_vector(7 downto 0));

end D2_3;

architecture rtl of D2_3 is begin

process (A, B) begin

if (A > B) then Z <= A;

else Z <= B;

end if;

end process;

end rtl;

(3)

Lösningar Dugga 2 Moment 4

ETAA32

U2.4

Library IEEE;

use IEEE.STD_LOGIC_1164.all;

entity ED_2 is port (

A: in std_logic_vector(7 downto 0);

Z: out std_logic);

end ED_2;

architecture rtl of ED_2 is begin

p1 : process (A)

variable count : integer;

variable flag : boolean;

begin -- process p1 count := 0;

flag := false;

for i in 7 downto 0 loop if A(i) = '1' then

count := count +1;

else

count := 0;

end if;

if count > 2 then flag := true;

end if;

end loop; -- i if flag = true then

Z <= '1';

else

Z <= '0';

end if;

end process;

end rtl;

References

Related documents

Till följd av ovan nämnda insikt – att forskning om yrkesverksamma socialarbetares uppfattningar kring kön kunde appliceras på en studie likt vår – anser vi att förhållandet

Han börjar med raketkrisen: ”Jag hade noga förklarat för honom (Goldberg) vad som stod i mitt skriftliga budskap till Krustjov: ’… om USA skulle invadera Kuba, ett land med

[r]

Ö4.2 Konstruera en krets som ger ut ’1’ då fler än hälften av ingångarna till kretsen

att man räknar tal inom parentes först, och sedan gånger och delat, och sist plus och minus.... Hur räknar man

Hur många trubbiga vinklar finns det sammanlagt i figurerna nedan?. Mät vinklarna i figurerna om det

Rita en rektangel där den korta sidan är 3 cm kortare än den långa sidan och omkretsen är 14 cm3. Berätta med matematikord något viktigt om vinklarna i

137 Clementi, s.. henne”, skriver Dahlerup. 139 Detta antyder alltså att det kan vara olika språkliga traditioner som avgör vilken retorik som lämpar sig