• No results found

Analysis and verification of routing effects on signal integrity for high-speed digital stripline interconnects in multi-layer PCB designs

N/A
N/A
Protected

Academic year: 2021

Share "Analysis and verification of routing effects on signal integrity for high-speed digital stripline interconnects in multi-layer PCB designs"

Copied!
130
0
0

Loading.... (view fulltext now)

Full text

(1)

signal integrity for high-speed digital stripline

interconnects in multi-layer PCB designs

Andreas Frejd

(2)
(3)

multi-layer PCB designs by Andreas Frejd Bachelor Thesis in Electronic Design at

ISY – Department of Electrical Engineering, Linköping Institute of Technology

LiTH-ISY-EX-ET--10/0372--SE

Supervisors: Per-Olof Hansson

Digital HW Designer, ÅF

Alina Oramas Alvarez

Manager, TRX Design, Ericsson AB Examiner: Dr. J Jacob Wikner

Visiting associate professor, ISY, LiTH

c

Andreas Frejd July 2011, Stockholm

Linköpings tekniska högskola Linköpings universitet

(4)
(5)

Department of Electrical Engineering Linköpings universitet

SE-581 83 Linköping, Sweden

2011-07-31 Språk Language Svenska/Swedish Engelska/English   Rapporttyp Report category Licentiatavhandling Examensarbete C-uppsats D-uppsats Övrig rapport  

URL för elektronisk version

http://ep.liu.se

ISBNISRN

LiTH-ISY-EX-ET--10/0372--SE Serietitel och serienummer Title of series, numbering

ISSN

Titel

Title Analys och verifiering av ledardragningens betydelse för signalintegriteten hos digitala höghastighetsanslutningar på flerlagermönsterkort

Analysis and verification of routing effects on signal integrity for high-speed digital stripline interconnects in multi-layer PCB designs

Författare Author

Andreas Frejd

Sammanfattning Abstract

The way printed circuit board interconnects for high-speed digital signals are designed ultimately determines the performance that can be achieved for a certain interface, thus having a profound impact on whether the complete communication channel will comply with the desired standard specification or not. Good understanding and methods for anticipating and verifying this be-haviour through computer simulations and practical measurements are there-fore essential.

Characterization of an interconnect can be performed either in the time domain or in the frequency domain. Regardless of the domain chosen, a method for unobstrusively connecting to the test object is required. After various different attempts it could be concluded that frequency domain mea-surements using a vector network analyzer together with microwave probes will provide the best measurement fidelity and ease of use. In turn, this method requires the test object to be prepared for the measurement.

Advanced computer simulation software is available, but comes with the drawback of dramatically increasing the requirements on computational re-sources for improved accuracy. In general, these simulators can be configured to show good agreement with measurements at frequencies as high as ten gigahertz. For ideal interconnects, the simplest and, thus, fastest methods will provide good enough accuracy. These simple methods should be comple-mented with the results from more accurate simulations in cases where the physical structure is complex or in other ways deviates from the ideal.

Several practical routing situations were found to introduce severe signal integrity issues. Through appropriate use of the methods developed in this thesis, these can be identified in the design process and thereby avoided.

Nyckelord

Keywords Signal integrity, PCB, digital interconnect, high-speed, microwave probing, EM field solver

(6)
(7)

The way printed circuit board interconnects for high-speed digital signals are designed ultimately determines the performance that can be achieved for a certain interface, thus having a profound impact on whether the complete communication channel will comply with the desired standard specification or not. Good understanding and methods for anticipating and verifying this behaviour through computer simulations and practical measurements are therefore essential.

Characterization of an interconnect can be performed either in the time domain or in the frequency domain. Regardless of the domain chosen, a method for unobstrusively connecting to the test object is required. After various different attempts it could be concluded that frequency domain measurements using a vector network analyzer together with microwave probes will provide the best measurement fidelity and ease of use. In turn, this method requires the test object to be prepared for the measurement. Advanced computer simulation software is available, but comes with the drawback of dramatically increasing the requirements on computational resources for improved accuracy. In general, these simulators can be configured to show good agreement with measurements at frequencies as high as ten gigahertz. For ideal interconnects, the simplest and, thus, fastest methods will provide good enough accuracy. These simple methods should be complemented with the results from more accurate simulations in cases where the physical structure is complex or in other ways deviates from the ideal.

Several practical routing situations were found to introduce severe signal integrity issues. Through appropriate use of the methods developed in this thesis, these can be identified in the design process and thereby avoided.

(8)
(9)

“Long is the way, and hard, that out of hell leads up to light.”

–John Milton, Paradise Lost

Starting out in late March 2010, this thesis was expected to be finished before the end of June the same year. Assuming the original plan to work out as expected, this plan would probably have been reasonable. But, for various reasons, it did not. Instead, in spite of all efforts put into the project, by the end of June, only small progress had been made. In fact, the project had reached a dead end, calling for a completely new approach to be taken, ultimately suspending the project for over seven months, before it eventually could be resumed and finished in March 2011.

Without a doubt, this thesis work has been all but straight forward, and along the way quite a few people have been involved, a few of which deserves to be acknowledged here.

First and foremost I’d like to thank my current manager Alina Oramas Al-varez, manager for TRX design at Ericsson, for giving me the opportunity to perform this thesis at Ericsson in the first place. Not only has this thesis been very instructive and interesting for me personally, but the subject is also very relevant for contemporary digital design. I’d also like to thank Alina for her patience and understanding when things haven’t gone as expected.

From a more technical point of view, I’d like to thank Johan Sjöström, de-signer radio, for his helpfulness and sharing of experience, especially within microwave measurements, but also EM simulations. His knowledgeable, sci-entific approach has been very much appreciated.

Gunnar Larsson, designer hardware, also deserves to be mentioned here for all his efforts with the layout of the test board and the accurate result thereof. I’d like to thank Per-Olof Hansson, digital hardware designer, for supervising this project, for many interesting discussions and for putting up with all my questions throughout the project.

(10)

I also appreciate the efforts made by all others who have contributed in differ-ent ways, for instance Anna T Jansson, TRX principal design engineer, for as-sistance with creation of schematics for the test board, and Marek Buczkowski, digital hardware designer, for general ideas and assistance regarding simula-tion software.

Thanks also to Rohde & Schwarz and Agilent Technologies for your flexibility when lending instruments and equipment used in the project.

Finally, I’d like to thank all others for kindly showing interest in the project, which I have found very inspiring.

(11)

1 Introduction 1

1.1 Background . . . 1

1.2 Thesis scope . . . 1

1.3 Report outline . . . 2

2 Theoretical background and signal integrity nomenclature 5 2.1 Printed circuit boards – Basic design considerations . . . 5

2.1.1 The stack-up . . . 5

2.1.2 The traces . . . 7

2.1.3 Vias and connections . . . 8

2.2 Digital differential signaling . . . 9

2.3 Signal propagation at high data-rates . . . 10

2.3.1 Frequency content of a digital signal . . . 10

2.3.2 Awareness of electrically large circuits . . . 12

2.3.3 The behaviour of a lossless transmission line . . . 14

2.3.4 Impedance of a transmission line . . . 17

2.3.5 Lossy transmission lines . . . 17

2.3.6 Line discontinuities and importance of matching . . . . 22

2.3.7 Non-ideal current return paths . . . 23

2.4 Crosstalk . . . 24

2.4.1 Crosstalk transmission line model . . . 25

2.5 Mode conversions . . . 27

2.6 Network analysis . . . 27

2.6.1 Scattering matrix . . . 27

2.6.2 Mixed-mode scattering parameters . . . 29

2.6.3 Transfer scattering matrix . . . 31

2.6.4 De-embedding . . . 32

2.7 Time domain vs. frequency domain analysis . . . 33

2.7.1 TDR/TDT analysis – the time domain approach . . . . 33 2.7.2 S-parameter analysis – The frequency domain approach 36

(12)

3 Study of a product PCB – A first attempt of signal integrity

analysis 39

3.1 Analyzing signal integrity of a PCB for an existent product –

the original plan . . . 39

3.2 PCB selection and analysis – finding critical nets . . . 40

3.3 Data management . . . 40

3.4 Computer simulation software – selection and configuration . . 42

3.5 Preparing for the measurements – finding a method for accurate and efficient probing . . . 43

3.5.1 Connecting to the PCB using semi-rigid cables . . . 43

3.5.2 Using microwave probes – a superior method? . . . 44

3.6 VNA calibration . . . 46

3.6.1 Calibration methods . . . 46

3.6.2 Test environment set-up and inital calibration . . . 48

3.6.3 The OSM calibration algorithm . . . 52

3.6.4 LRM calibration algorithm . . . 55

4 Designing a test board for the purpose 57 4.1 Selection of relevant test cases . . . 57

4.1.1 Test port characterization and reference traces . . . 58

4.1.2 Crosstalk test structures . . . 59

4.1.3 Via effect . . . 61

4.1.4 Miscellaneous test cases – practical routing situations . 61 4.2 Testboard layout . . . 63

4.3 Board characteristics . . . 65

4.4 A new analysis methodology . . . 67

4.5 A new application to aid the new analysis . . . 67

4.6 Probe characterization and the inital measurements . . . 68

4.7 Selecting and configuring an EM simulator . . . 70

4.7.1 Momentum configuration for good agreement with mea-surements . . . 72

4.8 A few words on TDR/TDT set-up . . . 76

4.8.1 A first comparison between simulated and measured re-sponses . . . 78

5 Analysis of the routing effects 81 5.1 Impact from vias . . . 81

5.2 Crosstalk behaviour . . . 89

5.3 Evaluation of various practical routing situations . . . 91

5.3.1 Non-solid reference planes . . . 92

5.3.2 Change of differential pair spacing . . . 92

5.3.3 Serpentine delay line . . . 94

5.4 A quick comparison with a faster simulator . . . 95

(13)

5.4.2 Crosstalk simulations . . . 97

5.4.3 Via models . . . 97

5.4.4 Limitations . . . 99

6 Conclusions and future work 101 6.1 What have been learned so far? . . . 101

6.1.1 Simulation techniques . . . 102

6.1.2 Measurement methods . . . 103

6.2 For the future . . . 104

Bibliography 105

Abbreviations 109

(14)
(15)

Introduction

1.1

Background

With a trend towards more sophisticated integrated circuits, with more con-nections and increasing data rates, the requirements on the printed circuit

board, PCB, design have become ever so important in order to guarantee

reli-able transmission of digital data between subsystems on a single circuit board. But as data rates increase, the interconnects begin to exhibit high-frequency behaviour and can no longer be described as independent, lumped conductors with evenly distributed currents and voltages. For a signal traveling on such interconnect the actual surrounding, in terms of material variations and ad-jacent voltage signal levels, may have a profound impact on its quality, more often referred to as its integrity. As speed and, thus, frequency increase, this impact will become more pronounced, resulting in a clear deterioration of the signal integrity during its propagation in the interconnect. This deteriora-tion could for instance be attenuadeteriora-tion of the signal voltage level or unwanted voltage variation due to interaction with neighbouring signals.

In order to cope with the steadily increasing speed requirement, the design team has to have full control over these high frequency phenomena, not only by knowing their causes and by being able to predict them early on in the design phase, but also in terms of methods for verification of these issues, both with the aid of computer simulations and with real world measurements on a fabricated board.

These statements may sound obvious, but the way they can be accomplished is not, as will be demonstrated in this report.

1.2

Thesis scope

Signal integrity is a large and fast growing field within contemporary PCB design for digital circuitry. This thesis aims to find methods for investigating

(16)

how the interconnects between circuits used by on-board high-speed electrical signaling systems may influence the overall signal integrity, including predic-tion through computer simulapredic-tions and verificapredic-tion through measurements. The focus here will be on small-scale issues, such as how a single conductor, or pair of conductors, is affected by its surrounding environment and how it affects other conductors in close proximity, rather than how these small scale effects ultimately interact in a working system. Being restricted to signal integrity issues caused by the interconnect, the impact of connecting circuits is, thus, not considered in this project.

Most signaling systems currently used for high-speed digital signaling, such as LVDS (low-voltage differential signaling) and CML (current mode logic), are differential systems, and therefore the outcome of this project should be applicable for such systems, although single-ended systems also should be evaluated for reference.

This thesis tries to answer the following questions:

• What routing properties, such as distance between adjacent conduc-tors and usage of vias, are most critical for accurate characterization of high-speed interconnects in order to achieve reliable prediction and verification of signal integrity issues?

• What measurement methods should be used in order to characterize these signal integrity issues?

• How well will computer simulations predict the same results?

In order for the results to be relevant, the computer simulations and practical measurements had to be performed on a multi-layer PCB, typically used in an advanced digital layout.

1.3

Report outline

This report does not expect the reader to have any prior knowledge of signal integrity, only a basic understanding of circuit theory is sufficient. For this reason, after this introduction, a basic theoretical introduction to PCB design and transmission line theory will follow. Besides serving as an introduction, this chapter also presents most of the terminology used throughout this report. For the reader well accustomed with these topics, this chapter might as well be ignored.

In the following chapter the original plan for analyzing a PCB for a current product will be motivated. A strategy for data management will be explained

(17)

and the initial measurement results will be presented. Due to the nonsatis-fying outcome from these measurements, the new approaches taken, will be motivated.

Generally speaking, as the original plan did not work out as expected, a completely new approach to the problem had to be taken, including the design of a test board, specifically made for the purpose. The process of designing this board will be described in chapter 4. The inital measurement- and computer simulation set-up and results will also be presented in this chapter.

In chapter 5, with all the details sorted out, the results from the simulations and measurements on the test board will finally be presented.

The lessons learned from this project, together with suggestions on how this knowledge can be used will be summarized in chapter 6. Here, future work on this topic will also briely be discussed, which concludes this report.

(18)
(19)

Theoretical background and signal integrity

nomenclature

2.1

Printed circuit boards – Basic design

considerations

For more than half a century [1] printed circuit boards, PCBs1, have been the basic building block for electronic products, by providing an efficient way to interconnect electronic components to form an electronic system. Even though the design of modern PCBs conceptually is still the same, fabrication processes, materials and design rules have evolved in a multitude of different directions. It is clearly beyond the scope of this thesis to evaluate all fabrica-tion parameters in any further detail. Here, a very general approach is taken, with the purpose of giving the reader unfamiliar with PCB design a notion of the basic terminology and concepts, used throughout this report.

2.1.1 The stack-up

In order to facilitate interconnection of many components, placed on a lim-ited area, conductors are normally placed, or routed, in more than two layers; creating a multilayer PCB design2. In such a design, not all layers are neces-sary signal layers. Often, whole planes are dedicated ground- or power planes, which is the recommended approach for improved signal integrity performance, especially for high speed designs. How the different layers are arranged and what layers that are used, is often referred to as the stack-up. A simple ex-ample of a stack-up is depicted in figure 2.1.

1

Sometimes also referred to as printed wiring boards, PWBs. 2

The PCB is then referred to as a multilayer board, MLB. By definition a multilayer board has three or more circuit layers [1].

(20)

Laminate sheet Etched copper Pre-preg L1 Copper L2 L3 L4 L5

Figure 2.1: A simple example of a five-layer PCB stack-up.

Just as the name stack-up implies, the PCB is made up of a stack of layers, basically consisting of a combination of fiber mats and laminate sheets3 [2]. The fiber mats often consists of a weave-like structure of fiber threads pre-impregnated with a resin, and are used to strengthen the structure. Because of this structure, they are simply called the pre-preg. The internal structure of the laminate sheets are also made up of pre-preg, but this pre-preg is fully cured, unlike the pre-preg used between the different laminate sheets, which is only partially cured. Moreover, the laminate sheets have copper foil attached to one or both of the outer sides. In the fabrication process the stackup is heated under pressure and the partially cured prepreg will bind to the laminate sheets [2], creating a solid structure.

As already mentioned, many different materials exist for this process, and choices made will have a profound impact on the electrical properties of the board. The by far most common group of standardized laminate systems is the flame retardant 4, FR-44 [1], which is based on an epoxy resin, normally with glassfiber reinforcement. For the boards studied in this thesis, halgon

free FR-4, HFFR-4 , was used.

Electrically, the laminate sheets and pre-preg surrounding the conductors, are insulators and therefore called dielectric. The dielectric is primary character-ized by how much resistance an applied electric field will encounter, expressed by its permittivity. For free space the permittivity, 0, has the value shown in equation (2.1) [3]. 0 = 1 36π · 10 −9 [F/m] (2.1)

For other media, such as the dielectric in a PCB, the permittivity is normally given in relation to 0, by the relative permittivity constant, r, defined in

3

The laminate sheets are sometimes simply called cores. 4

FR-4 – Flame retardant 4 – A standard defined by N.E.M.A. - National Electrical Manufacturers Association.

(21)

equation (2.2) [3].

 , r0 (2.2)

The permittivity is a fundamental property of the PCB dielectric, determining the behaviour of a signal traveling in an adjacent conductor. For instance, for a conductor surrounded by a homogenous dielectric, the propagation speed of a signal is determined by the simple relation shown in equation (2.3)5.

vp =

v0

r

(2.3)

where v0 is the speed of light in vacuum.

A higher level of resin relative to glass yields lower permittivity, which in general is better for high-speed PCB design. High permittivity will for instance require a thicker stackup for a given impedance and increase the capacitive coupling between conductors [2].

The laminate sheets tend to have a lower resin content than the prepreg [2], which, in other words, means that the laminates usually have a slightly higher permittivity than the prepreg sheets.

Another fundamental parameter used for electrically characterizing the di-electric, the loss tangent, deserves to be mentioned here as well, but will be described in more detail in section 2.3.5.2.

2.1.2 The traces

The conductors, or traces, in a PCB normally consist of copper and are created through an etching process of the copper foils attached to laminates. In most cases, the thickness of the copper foil is expressed in ounces, that represent the weight of copper per square foot of surface area, where the 0.5 ounce, 1.0 ounce and 2.0 ounce are the most common ones [4]. Generally, it is advantageous to use thin traces, as it, nonintuitively, will reduce losses and coupling and also improve impedance control [2].

Due to different fabrication methods, the traces may exhibit large variations in shape and in surface roughness (which will be further explained in section 2.3.5). As pointed out in [2], it is of great importance to be aware of the phys-ical difference between traces due to fabrication, when analyzing the results of any signal integrity analysis.

5

In general, another fundamental property, the relative permeabilty, µr, would also exist

under the square root. However, for non-magnetic materials it will be equal to one [3] and is left out here.

(22)

Trace (etched) Reference

plane

Dielectric

(a) Microstrip. (b) Stripline.

Figure 2.2: The two basic types of traces used in PCB design.

For a conventional PCB design, traces can be divided into two basic categories, based on where the traces are placed; either on the surface, as in the microstrip case shown in figure 2.2a, or buried within the board, as in the stripline case, shown in figure 2.2b. In fact, microstrip and stripline are two transmission line types. Transmission line theory will be discussed further in section 2.3. The interconnects analyzed in this thesis will be striplines.

2.1.3 Vias and connections

In order to interconnect signals between different layers in a multilayer board, vertical connections between the layers, known as vias6, are used.

Vias are normally either through hole, buried or blind. These three different kinds are displayed in figure 2.3. Traditionally, through hole vias have always been used, but as a result of the increasing demands on package density [1], buried- and blind vias were introduced, allowing traces in other layers to pass over or under the via. Most vias will be drilled between a standard number of layers, rather than only between the layers to be connected, leaving a stub7, an example of which also can be seen in figure 2.3. As will be shown later, this stub may have a significant impact on high-speed signals.

For each layer there is a ring of copper, called pad, that is used to make contact with traces of a certain layer. In some designs, especially on thick PCBs, these pads are left for unconnected layers in order to anchor the via in the stackup [2]. These unconnected pads are then referred to as non-functional pads. This may, however, increase the self-capacitance of the via [2]. In order to allow signal vias to pass through ground- and power planes, a clearance hole in the plane is created, referred to as an anti-pad.

For high-speed signals, as will be described further in this report, the via may play a critical role, as it has very different electrical properties compared to

6

The term Via is an abbreviation for Vertical Interconnect Access. 7

(23)

Figure 2.3: Three different via types.

the traces. In addition to the stub-effect, it can briefly be mentioned that, due to the lack of solid dielectric surrounding, the signal may experience losses and additionally, also introduce, or be subject to, coupling.

The devices mounted on the outer edges of a PCB, be it a surface mounted discrete component or a large integrated circuit, are normally soldered to a conductive area, usually made up of goldplated copper. These metal areas are also commonly referred to as pads. To meet the requirements of different circuit types there exist quite a few different PCB pads. These are, however, not analyzed further in this thesis and a more detailed description is therefore left out here. Instead, the interested reader is referred to [1, chapter 3].

2.2

Digital differential signaling

Since early 1960s differential pairs have been used for transferring digital sig-nals in speed interconnects and is nowadays used in virtually all high-speed serial links [5]. A differential signal is represented by the voltage differ-ence between two nodes, having the same size, but opposite sign with respect to a fixed potential, as opposed to a single-ended signal, simply represented by the voltage difference between a single node and a fixed potential. In a strict sense, the two nodes should have equal impedance to this potential [6]. The voltage difference is called differential mode, DM , and is, thus, defined

(24)

as UDM = U1− U2. Correspondingly, the common mode, CM , is defined as

the voltage level common to the two nodes as UCM = (U1+ U2)/2.

In spite of the requirement of two traces to be routed and that extra care must be taken for making these the same length [7], differential signaling comes with several important advantages. One advantage, often mentioned, is the reduced sensitivity against sources of disturbance common to the two traces8, as the two conductors within the pair then will be contamined with the same disturbance, not affecting the voltage difference. In a similar fashion, a differential signal also affects adjacent signals to a less extent [6].

From a signal integrity perspective, a fundamental advantage of using differ-ential pairs are the virtual reference plane between the conductors within the pair, providing a continuous reference [9], the importance of which will be explained in the following sections.

Other advantages include the doubled voltage swing and suppression of even order harmonics caused by non-linearities.

2.3

Signal propagation at high data-rates

As was briefly mentioned in section 1.1, at higher data-rates the characteristics of the actual interconnect becomes of great importance for the signal integrity, but why is this not true for lower data-rates?

In order to understand these issues, the signals traveling on the interconnect has to be considered voltage- and current waves, propagating with finite speed on the interconnect, generating variations in the surrounding electromagnetic field. Using this approach, the interconnect is called a transmission line. Somewhat simplified, with the transmission line perspective, not only the final value due to a signal change can be calculated, but all transients that lead to that value can be obtained. In fact, all electrical signals can be described in this way, but, since computationally much more involved, it is important to understand when use is appropriate. This will be motivated, before the basic theory behind high speed signal behaviour will be explained.

2.3.1 Frequency content of a digital signal

First, how can the data rate of a digital signal be related to frequency? In order to make a theorethical approximation, assume the digital signal can be approximated as a trapezoidal waveform, as shown in figure 2.4 and expressed

8

(25)

Figure 2.4: Trapezoidal approximation of a digital signal.

Figure 2.5: Magnitude spectrum of trapezoidal pulse train approximation, plotted in log-log scale.

by a fourier series, in general given by:

v(t) = c0+ ∞ X n=1 |c+n| cos  n2πf t T + arg{c + n}  (2.4)

If it is further assumed that the rise time, τr, is equal to the fall time, τf, it can be shown that the one-sided complex fourier series expansion coefficients can be expressed as [3]: |c+n| = 2Aτ T sin(nπτ /T ) nπτ /T sin(nπτr/T ) nπτr/T n 6= 0 (2.5) and c0 = A τ T n = 0 (2.6)

where A is the signal amplitude, τ is the pulse width and T is the pulse period, as annotated in figure 2.4. The fundamental and harmonics of the fourier series exist at the discrete frequencies for which f = n/T . By neglecting that for the moment and substituting that expression into equation (2.5), a continuous

(26)

frequency spectrum of the signal can be obtained, the magnitude function of which is plotted in figure 2.5 in a log-log scale9. From this plot it can be seen that the influence of the high frequency components rapidly decays after 1/(πτr). Based on this observation, the bandwidth required to accurately

re-create a digital signal is in this thesis assumed to be given by equation (2.7) [3].

BW = 1

τr

(2.7)

This rule of thumb, corresponding to approximately three times the 1/(πτr)

frequency, is commonly used in the industry. Sometimes, however, it is con-sidered too moderate and half this frequency is used instead [10].

2.3.2 Awareness of electrically large circuits

Using the concept of fourier series, the digital signals, described as voltage-and current waves, can be considered to be made up of simple single-frequency sinusoidal waves, as was shown in the previous section. For instance, the voltage of a single sinusoidal voltage wave with amplitude V , propagating with constant speed along an ideal interconnect can be described as:

v(x, t) = V cos(ωt − βx) (2.8)

where t is the time, x is the position along the line, ω is the angular frequency and β is a constant, known as the phase constant.

A wavelength represents the distance that a sinusoidal wave must travel in order to change phase by 360◦, or 2π10.

Since the phase shift for one wavelength is 2π, β is given by:

βλ = 2π ⇔ β =

λ (2.9)

By observing a common point on the wave, the argument must be constant as shown in equation (2.10a). Rewriting equation (2.10a) as shown in equation

9In this figure an asymptot is also plotted, based on the fact that |(sin x)/x| is approxi-mately equal to one for small x and 1/|x| for large x.

10

Strictly, this only applies to uniform plane waves, but as other waves have similar characteristics this is valid for other waves as well [3].

(27)

(2.10b) and then differentiating with respect to t, the velocity of propagation is obtained in (2.10c), where the last equality comes from equation (2.9).

ωt − βx = constant (2.10a) ⇔ x = ω βt + constant β (2.10b) v = ∂x ∂t = ω β = λf ⇔ λ = v f (2.10c)

The last equation, equation (2.10c), relating frequency and wavelengths, is fundamental in order to understand when use of transmission line theory is appropriate.

So far it has been said that signal integrity issues may occur at “higher data-rates”. Though to some extent correct, it is indeed a very vague way of putting it. In fact, these issues are not directly related to a particular data rate, but, as was shown in section 2.3.1, the frequency content of a signal is. By increasing the data-rate, the important frequency components of the signal will also move up in frequency, and, as indicated by equation (2.10c), for a constant propagation speed, the wavelengths will decrease accordingly. When the wavelengths approaches the physical lengths of the conductors, the values of the signal voltages and currents can no longer be considered equal along the interconnect, nor to change instantaneously. As will be shown in the following sections, the behaviour of the actual conductors becomes critical.

Rather than using the physical size when characterizing an interconnect, the term electrical dimensions is used, which is the physical size in terms of wave-lengths. For instance, a circuit of which lumped circuit models do not apply, is referred to as electrically large. As a widely used rule of thumb, a circuit or interconnect is considered electrically large when its largest dimension, L , is larger than one tenth of a wavelength [3]:

L > 1 10

v fmax

(2.11)

Using fmax = 1/τr from section 2.3.1 and L = vTD, equation (2.11) can be

rewritten as:

TD >

1

10τr ⇔ τr< 10TD (2.12)

From equation (2.12) it can be seen that for a signal with rise times smaller than about ten one-way propagation delays of the line, then transmission

(28)

line effects is of importance. For digital signaling on an electrically large interconnect, these effects are especially important during a signal change, where the transient behaviour may ruin the signal integrity. For an electrically small interconnect, on the other hand, these transients are neglectable.

2.3.3 The behaviour of a lossless transmission line

Even though the use of the transmission line equations is rather complicated, their actual derivation can be motivated in a straight forward manner, as will be described here. It should be emphasized that this is just a motivation, the intention of which is not to provide the mathematical rigor of a complete electrodynamic derivation, but to provide the reader with the basic concepts required for understanding most high-speed signal integrity issues.

y x z + -+ + + + - - - -+

V

E

T

(a) Electric field in transverse.

y x z I I

H

T

(b) Magnetic field in transverse.

Figure 2.6: Electric- and magnetic fields.

Consider the two conductors as depicted in figure 2.6, extended in x-direction. If a voltage is applied (figure 2.6a), an electric field will occur, that is in transverse to the conductor extension, i.e. in the yz-plane. The amount of charge stored is known as the capacitance of the conductor, which can be measured per unit length as Farads per meter [F/m]. The value is obviously dependent on the properties of the conductor.

Similarly, if a current is applied, a magnetic field will occur (figure 2.6b), which can be characterized as the inductance per unit length, as Henries per meter [H/m].

Putting these two observations together, a first order lossless model of the actual transmission line can be formed, consisting of an infinite series of iden-tical elements. This model is depicted in figure 2.7, where l and c are the dis-tributed per-unit-length inductances and capacitances, respectively and ∆x is the length of each section.

(29)

l x c x l x c x l x c x x

Figure 2.7: First order distributed transmission line model.

I(x,t) V(x,t) I(x+ l x,t) V(x+ x,t) x c x + -+

-Figure 2.8: Lossless transmission line per-unit-length equivalent circuit.

Application of Kirchhoff’s voltage law, KVL, to a single section as shown in figure 2.8, where the voltage and the current is a function of both time and position on the transmission line, yields:

V (x + ∆x, t) − V (x, t) = −l∆x∂I(x, t) ∂tV (x + ∆x, t) − V (x, t) ∆x = −l ∂I(x, t) ∂t (2.13)

The left hand side of equation (2.13) is the well-known definition of a deriva-tive. Thus, by letting ∆x → 0, the first transmission line equation, describing the voltage along the line, can be obtained as:

∂V (x, t)

∂x = −l

∂I(x, t)

∂t (2.14)

(30)

the current along the line, can be obtained in a very similar fashion: I(x + ∆x, t) − I(x, t) = −c∆x∂V (x + ∆x, t) ∂tI(x + ∆x, t) − I(x, t) ∆x = −c ∂V (x + ∆x, t) ∂t (2.15) ⇒ ∂I(x, t) ∂x = −c ∂V (x, t) ∂t when ∆x → 0 (2.16)

These linear differential equations, as given by equations (2.14) and (2.16), and also known as the Telegrapher’s equations, completely describes the behaviour of a lossless transmission line. As can be seen from these equations, all the structual information about the transmission line must be contained in the per-unit-length parameters, l and c, and nowhere else. Thus, in order to make any use of these equations the per-unit-length parameters must be computed. However, the exact values of these parameters are not available in closed-form [3]. Instead, numerical methods, implemented by computer simulation software, is normally used.

Equations (2.14) and (2.16) both contain line voltages and currents, and they are said to be on coupled form. In order to find the time domain solution, one normally start by uncoupling them, which for instance can be done by differentiating equation (2.14) with respect to x and equation (2.16) with respect to t as: 2V (x, t) ∂x2 = −l 2I(x, t) ∂t∂x (2.17) 2I(x, t) ∂x∂t = −c 2V (x, t) ∂t2 (2.18)

Substituting equation (2.18) into equation (2.17) yields:

2V (x, t)

∂x2 = lc

2V (x, t)

∂t2 (2.19)

Similarly, differentiating equation (2.14) with respect to t and equation (2.16) with respect to x yields:

2I(x, t)

∂x2 = lc

2I(x, t)

(31)

The time domain solution to the uncoupled equations (2.19) and (2.20) is given by [3]: V (x, t) = V+  t − x v  + V−  t + x v  (2.21a) I(x, t) = 1 ZC V+  t −x v  − 1 ZC V−  t + x v  (2.21b) where ZC = p

l/c is the characteristic impedance of the transmission line

(as will be described in section 2.3.4). The propagation speed is given as

v = 1/(lc). V+ represents the forward traveling voltage wave11, whereas V

corresponds to the wave traveling in the opposite direction.

2.3.4 Impedance of a transmission line

By definition, the characteristic impedance of a transmission line is equal to the ratio of the propagating voltage and current waves on the line. For a lossless transmission line, the characteristic impedance can also be shown to be given by equation 2.2212.

ZC =

s l

c (2.22)

Approximate expressions recommended by IPC13for the characteristic impedance of a single-ended microstrip and stripline are available. The expression for the stripline case is shown in equation (2.23) [11], where h is the dielectric thickness below the signal trace to the plane, w is the line width, b is the plane-to-plane spacing and t is the metal thickness.

Z0 = 60 √ r ln  2b + t 0.8w + t  (2.23)

2.3.5 Lossy transmission lines

So far, only ideal lossless conductors have been described. Practical transmis-sion lines are, however, not lossless. There are several contributors to these losses, here divided into two groups; losses due to the actual conductors and losses due to the surrounding dielectric.

11This is evident from the equation; as t increases, x must also increase in order to keep the argument constant.

12

In some literature the characteristic impedance is denoted Z0. In this thesis ZCis used.

13

(32)

2.3.5.1 Conductor losses

Two important and closely related contributors to the conductor losses are the skin effect and surface roughness.

W

t

(a) At DC. (b) At higher frequencies.

Figure 2.9: Skin effect. At DC the current will be evenly distributed across the crossectional area of the conductor, whereas at higher frequencies, the current tends to be concentrated closer to the edges.

The skin effect phenomena is depicted in figure 2.9. Figure 2.9a shows how, at zero or very low frequencies, the whole crossectional area of the conductor is utilized for conducting charges, creating a low resistive path, whereas at higher frequencies (figure 2.9b), the charge distribution is moved towards the edges, thereby increasing the resistance of the conductor, which in turn results in higher losses.

r

[ /m]

f

[Hz]

r

dc

f

break

10 dB/dec

Figure 2.10: Conductor resistance variation due to skin effect as a function of frequency.

As shown in figure 2.10, this loss, or rather increase in resistance, is approx-imately proportional to the square root of frequency, therefore increasing by 10 dB/dec in a logarithmic scale, after a certain breakpoint frequency [3]. It should also be noted that due to the skin effect, thicker traces may not necessarily have a loss advantage over thinner ones [2].

(33)

f [GHz] 0.010 0.1 1 10 100 5 10 15 20 Ski n dept h /r oughnes s [ m]

Average roughness for 0.5 oz commercial foil Skin depth copper

Figure 2.11: Skin depth and surface roughness versus frequency for commer-cially available 0.5 oz copper foil.

Moreover, the outer edges of the traces in a fabricated PCB will be far from smooth. In fact, the copper is usually deliberately roughened to ensure ad-hesion to the dielectric [9]. At lower frequencies, this is of little concern, but due to the skin effect, at higher frequencies, all of the current will flow in the rough part, where the ac resistance is larger [2], resulting in additional losses. Inspired by [1], figure 2.11 shows an example which demonstrates how for fre-quencies approximately exceeding five gigahertz, all the current will flow in the rough part for commercially available 0.5 oz copper foil14.

Another closely related effect that cause losses is imperfect trace thickness due to fabrication process. Generally, this will not have as much impact as the imperfectness due to surface roughness [2].

2.3.5.2 Dielectric losses

High frequency phenomenas that result in losses are not limited to effects in the actual conductors. Also losses in the dielectric must be considered. Each time the electric field changes direction, the bound charges of the dipoles in the dielectric will try to align with the new field. When the dielectric is unable to completely do so, losses will occur in the conductors [3, 9], an effect that will become apparent at higher frequencies. To account for this frequency dependence, the permittivity can be described as [3]:

(ω) = 0(ω) + j00(ω) (2.24)

14

This is by the way the same copper thickness as used in the testboard described in chapter 4.

(34)

where 0 is related to the stored energy in the medium and 00 is related to the actual loss of energy in the medium.

More commonly, the loss of a dielectric material is expressed by its loss

tan-gent, defined in equation (2.25), where σ is the free charge conduction.

tan δ, ω

00+ σ

ω0 (2.25)

This report will not go any further in this direction, and here it suffices to say that the loss tangent, as the name implies, is a measure of the loss that occur within the dielectric and for the frequencies and materials studied here, it can normally be considered constant.

However, in a fabricated board, the permittivity can vary significantly, due to the fiber-weave inside the dielectric [9, 12] (see section 2.1.1). This fiber-weave

effect can therefore be of great importance for the performance of high-speed

digital interconnects15.

Though not practically possible, by routing the traces diagonally, the fiber-weave effect can be eliminated. This has been verified by another project at Ericsson. From this test, it was also concluded that the effect of surface roughness, previously described, is much larger than this effect.

2.3.5.3 Inclusion of losses in Telegrapher’s equation

I(x,t) V(x,t) I(x+ l x,t) V(x+ x,t) x c x + -+ -r x g x

Figure 2.12: Lossy transmission line per-unit-length equivalent circuit.

As has been shown so far in sections 2.3.5.1 and 2.3.5.2, practical transmission lines are not lossless. Up to this point only the development of the Telegra-pher’s equations for lossless transmission lines have been shown. In order to account for losses in this model, a series resistance, r, representing conductor losses and a shunt conductance, g, representing dielectric losses, can be added to each section of the lossless model, as depicted in figure 2.12. Using the same approach as for the lossless case, the uncoupled lossy transmission line

15

(35)

equations can be derived. However, the inclusion of losses will significantly complicate their solution [3]. In practice, as has been shown in previous sec-tions, these losses are also frequency dependent, which cannot be accounted for in a closed-form solution of these equations [3].

The approach normally taken in order to evade this difficulty is to use a frequency domain solution known as the phasor method, where a sinusoidal inputsignal in steady state is assumed16. Equations (2.14) and (2.16) for the lossless case can then simply be written as:

dV (x)

dx = −zI(x) (2.26a)

dI(x)

dx = −yV (x) (2.26b)

where z = jωl and y = jωc. If losses are to be included r and g are sim-ply added to z and y, respectively. By differentiating and substituting the uncoupled form can be obtained as:

d2V (x)

dx2 − zyV (x) = 0 (2.27a)

d2I(x)

dx2 − yzI(x) = 0 (2.27b)

The solutions to equation (2.27) is now given by [3]:

V (x) = V+e−αxe−jβx+ Veαxejβx (2.28a) I(x) = V + ZC e−αxe−jβxVZC eαxejβx (2.28b)

where the characteristic impedance, ZC, and the propagation constant, γ, is

given as ZC = p

z/y = p

(r + jωl)/(g + jωc) and γ =zy = α + jβ = p

(r + jωl)(g + jωc), respectively. α is known as the attenuation constant, which obviously is equal to zero in the lossless case. β is the phase constant as mentioned in section 2.3.2. V+and V−can here be seen as undetermined con-stants for the forward- and backward traveling waves respectively, determined by the source and load impedances.

16

This means that the “full” solution, i.e including both transient and steady state, as in the time domain case, is no longer obtained [3].

(36)

2.3.6 Line discontinuities and importance of matching

As has been already mentioned, in practice, the transmission line medium is never perfectly homogenous. Any change in the medium can be seen as a discontinuity, causing reflections, signal loss and perhaps interference with consecutive data bit in a practical system. Obviously, imperfect terminations at the end of the transmission line will also result in the same phenomena. The characteristic impedance of a transmission line is defined as the ratio between the forward traveling voltage- and current waves, as was mentioned in section 2.3.4. When the waves hit a line discontinuity, represented by a second transmission line with different characteristic impedance, part of the forward traveling wave must be reflected in order to fulfill this relation for the second transmission line [14].

The ratio of the reflected voltage, V, to the incident voltage, V+, is called the reflection coefficient, which consequently is defined as:

Γ, V

V+ (2.29)

Generally, it can be shown that the reflection coefficient is equal to [9, 14]:

Γ =Z2− Z1

Z2+ Z1

(2.30)

where Z1 and Z2 are arbitrary (complex) impedances.

Figure 2.13: Impedance discontinuity – example circuit.

As a simplistic example of a line discontinuity, consider the circuit depicted in figure 2.13, where the source- and load impedances, Rs and RL, are assumed to be equal, and where the two transmission line segments are of equal length, with different purely resistive characteristic impedances, Z1 and Z2. It is

further assumed that the termination resistances have a lower resistance than

Z1, and that the opposite is true for Z2. A possible example of the reflected and

transmitted voltages for this scenario, when an ideal voltage step is applied, is shown in figure 2.14, where each voltage level simply can be calculated using equations (2.29) and (2.30).

(37)

(a) Reflected voltage over RS. (b) Transmitted voltage over RL.

Figure 2.14: Example response for circuit shown in figure 2.13, assuming Z1 and Z2 to be purely resistive and that Z2 < Rs = RL< Z1.

For instance, since Z1 is greater than RS, a positive reflection initially occurs

at the input, resulting in a voltage that is added to the applied voltage, and therefore it first appears greater than the final steady state value in figure 2.14a. What is not reflected will obviously propagate through Z1 until it

reaches Z2, where a negative reflection will occur, the impact of which will appear at the input after two times the delay of the first transmission line segment. This way, reflections will continue until the steady state value has been reached.

Ideally, from this reasoning is understood that each conductor should be of constant impedance and perfectly terminated, in order to avoid reflections. However, a digital system has so many connections that designing perfect impedance matching networks for all traces, is not practically possible, unlike for instance a RF-system [8]. Instead, the system must be designed to have a tolerance for impedance mismatches.

When analyzing a certain interconnect it is often of interest to be able to locate these impedance discontinuities. Then, a similar approach as was shown in the simple example above is used, called time domain reflectometry, TDR. This method will be described in more detail in section 2.7.1.

2.3.7 Non-ideal current return paths

It is very easy to only focus on the signal trace, forgetting about one funda-mental concept of circuit theory; any current injected to a system must return to the source and it will do so through the path of least impedance. Thus, the characteristics of the current return path, through the reference plane, often in combination with ground vias, are as important as the trace and signal vias themselves.

(38)

Non-ideal current return paths occurs for instance when a discontinuity in the

reference plane causes return current to diverge from the ideal path, which results in increased loop area, and, thus, increased inductance. If the delay of this path is longer than the rise- and fall times of the the signal, the signal waveform will be severly distorted [9]. This same phenomena occurs in via structures, where a ground via is placed at a certain distance away from a signal via. Another example of non-ideal return paths occurs when the return current must flow through a region of increased impedance [9].

2.4

Crosstalk

As was explained previously in section 2.3.3, every electrical signal propagating on an interconnect will cause electromagnetic field variations. It is not difficult to imagine that there might be electromagnetic coupling between two or more conductors placed close enough in space. Such unintended electromagnetic coupling between conductors that are in close proximity are referred to as

crosstalk.

Crosstalk will affect the fundamental behaviour of the transmission line, as both the characteristic impedance and propagation velocity of a line will change [3, 9].

Due to its electromagnetic nature, the amount of coupling is clearly a func-tion of the distance between the conductors. For a PCB design, crosstalk is expected to occur both between traces inside the board and between closely spaced vias.

Interestingly, of greater importance might not be crosstalk from other signal traces, but from the reference plane due to non-ideal return paths for the other signals. As a consequence, crosstalk may occur between traces that are centimeters apart, since the return path may be in close proximity to the conductor, or their return paths may even coincide. For this case, the crosstalk regions will become a bit more tricky to locate, since the current return paths must be anticipated. For differential signals, as is studied in this thesis, it should be noted that this effect will be clearly reduced due to the virtual reference plane within the differential pair, that can be thought of as a continuous return path [9].

A similar phenomena due to non-ideal return paths will occur in via structures, in the following called via crosstalk, in order to distinguish it from conventional crosstalk. According to [9], this crosstalk may result in a dramatic increase in crosstalk in via structures. In principle, the via structures will act as a antennas, radiating energy through the dielectric layers and planes that is being picked up by other via structures.

(39)

V (t)S I (x,t)A I (x,t)V RS RL RFE RNE VNE + -VFE + -V (x,t)A + -V (x,t)V + -Aggressor line Victim line

Figure 2.15: Three conductor crosstalk model.

The simplest crosstalk case is that for a three-conductor line, schematically shown in figure 2.15, where two circuits are sharing a common return path. A voltage is driven by a voltage source, Vs, with output impedance RS, on

the outer loop. The electromagnetic fields generated in the outer circuit will interact with the inner circuit, inducing a current and a voltage in this circuit. Since this was not intended, the inner loop is often referred to as victim line, whereas the outer loop is called the aggressor line17. Due to coupling, voltages will appear across the resistors RN E and RF E on the near-end and far-end side respectively, with respect to the generator. In the near-end case the inductive and capacitive currents will interact, whereas for the far-end case they will counteract, and, thus, far-end crosstalk is always smaller than near-end crosstalk.

2.4.1 Crosstalk transmission line model

In section 2.3.3 the Telegrapher’s equations were derived for a single trans-mission line. In a similar fashion from the circuit shown in figure 2.16, the corresponding equations can be derived for the three conductor crosstalk case described in previous section, if we assume that both aggressor and victim traces are parallel with the x-axis. Just like for the single transmission line,

lA and lV are the per-unit-length self-inductances for the aggressor and

vic-tim conductors respectively, representing the magnetic fluxes penetrating each loop. Similarly, cA and cV are the per-unit-length self-conductances, repre-senting the electric fields. The new elements, lM and cM, is the mutual

induc-tance and capaciinduc-tance, respectively. Again, by applying the same methods as was done in section 2.3.3, the differential equations can be written in matrix

17

The aggressor line is sometimes also called generator conductor. The victim line is then called receptor conductor.

(40)

I (x,t) V (x,t) I (x+ l x,t) V (x+ x,t) x c x + -+ -l x c x c x l x V V (x,t) + -A A A A I (x,t)V I (x,t) + I (x,t)A V m m A V V A V (x+ x,t)V +

-Figure 2.16: Lossless per-unit-length equivalent circuit for a three conductor transmission line with crosstalk.

form as: ∂xV(x, t) = −L ∂tI(x, t) (2.31a) ∂xI(x, t) = −C ∂tV(x, t) (2.31b) where (2.31c) V(x, t) = " VA(x, t) VV(x, t) # I(x, t) = " IA(x, t) IV(x, t) # (2.31d) L = " lA lM lM lV # C = " (cA+ cM) −cM −cM (cV + cm) # (2.31e)

Intuitively, the inductive coupling will dominate the capacitive coupling for low impedances with respect to the characteristic impedance, since a lower impedance yields a larger current, while the opposite is true for larger impedances [3].

The matrix representation given in equation (2.31) can without difficulties be extended to hold for a system with an arbitrary number of conductors, often referred to as a multiconductor transmission line-system. However, just like for a single transmission line, the L- and C matrices calculations is normally implemented by a field solver software application.

(41)

2.5

Mode conversions

Evidently, a practical system contains a lot of imperfectness, as so far has been described as discontinuities. For a differential pair, one important aspect is the symmetry of the two conductors within the pair. For reasons already mentioned, any two conductors in a practical PCB design will not be equal and, thus, asymmetric. Any such asymmetry will result in mode-conversion [9], converting part of the original signal to a different mode. For a few reasons this might pose a problem.

Intuitively, one would only think of differential to common mode conversion as a problem if it is large enough to saturate the drivers [12]. But the common-mode crosstalk can be much higher than for the differential case and conse-quently the common mode signal level must be kept small.

Another important aspect is that the time-delays for differential- and common mode signals may be different, which may affect consequtive bits, in a fast digital bitstream.

2.6

Network analysis

Any linear and time-invariant (LTI) system can be fully characterized by only evaluating the signals on its ports [9], regardless of the internal structure of the system. The system response to any input can then be calculated by simply evaluating a linear equation system.

Many ways exist for obtaining this port information, normally described as

network parameters of the system. Commonly used parameters include impedance,

admittance and hybrid parameters, all of which contain the same information, but differ in the way measured. For this reason a set of network parameters, known as scattering parameters, or simply just s-parameters have become pop-ular, especially for higher frequencies, since each port is evaluated when all other ports are terminated with a matched impedance. The reason being the fact that this situation is much easier to achieve in practice, than perfect open-or shopen-ort circuit that is required by the impedance- and admittance parameters respectively.

2.6.1 Scattering matrix

In its simplest form, a two-port network, as depicted in figure 2.17, can be described by a linear equation system on matrix form as:

" b1 b2 # = " s11 s12 s21 s22 # " a1 a2 # (2.32)

(42)

where sij = ViVj+ Vi+=0 = bi aj a i=0 (2.33)

and where Vi+ or bi is the output signal from port i. Vj+ or aj is the input signal at port j. More precisely, a and b are incident and reflected power waves, respectively, which for a system with equal terminations on all ports can be written as:

a = 1 2 V + Z0I p |R{Z0}| (2.34) b = 1 2 V − Z0I p |R{Z0}| (2.35)

for the voltage V , current I and impedance Z, on each port.

S

a1

b1 b2

a2 a2

Figure 2.17: Simple two-port network with inci-dent and reflected waves denoted a and b respec-tively.

When the s-parameters are collected from a sys-tem, they are evaluated at a discrete number of frequencies for a selected frequency range. For each frequency, every s-parameter, sij, will be described by an unitless complex number, just like the frequency response of a system is evalu-ated for a certain frequency. In the same way, the evaluated s-parameter will contain information about both magnitude and frequency. In order to be useful, the s-parameters have to be spec-ified together with the characteristic impedance they were measured at18.

For reciprocal systems, the s-parameter repre-sentation is redundant19. For instance will s21equal s12for a two-port system.

When measured in practice, however, this is a good thing, since this property can be used to verify the measurement; in particular to verify proper probing at both ports.

The theory for a two-port system can simply be expanded to describe any

N -port network and the measured s-parameters can in general be written on

matrix form as:

b = Sa (2.36)

18

This impedance is almost exclusively 50 ohm. 19

(43)

where S is a N xN -matrix, and a and b are N x1-matrices. For instance, a single-ended system with four ports is given by:

     b1 b2 b3 b4      =      s11 s12 s13 s14 s21 s22 s23 s24 s31 s32 s33 s34 s41 s42 s43 s44           a1 a2 a3 a4      (2.37)

2.6.2 Mixed-mode scattering parameters

For differential signaling, the system’s differential and common mode re-sponses are of greater importance than the single-ended ones. If the four-port single-ended system described by equation (2.37) in the previous section, instead would be evaluated for differential and common mode signals, the

mixed-mode S-parameter matrix for the corresponding differential two-port

would be obtained. This is shown in equation (2.38). When a distinction must be made between these representations, equation (2.37) is often referred to as the standard s-parameter matrix.

     bd1 bd2 bc1 bc2      =      sdd11 sdd12 sdc11 sdc12 sdd21 sdd22 sdc21 sdc22 scd11 scd12 scc11 scc12 scd21 scd22 scc21 scc22           ad1 ad2 ac1 ac2      (2.38) where sddij= bdi adj a ci=acj=adi=0 (2.39) sdcij = bdi acj a ci=adi=adj=0 (2.40) scdij = bci adj a ci=acj=adi=0 (2.41) sccij = bci acj a ci=adi=adj=0 (2.42)

2.6.2.1 Standard to mixed mode scattering parameter conversion In [15] a method for direct extraction of the mixed-mode s-parameters from the standard s-parameters is proposed and demonstrated in a later work by the same author in [16]20.

20

Please note the difference in port number notation used in this paper compared to what is used in this thesis.

(44)

Here, in equations (2.43) through (2.48), a brief motivation21 of this method for the four-port case is presented.

Intuitively, the relationship between incident single-ended and mixed mode signals can be expressed as:

     ad1 ad2 ac1 ac2      = √1 2      1 0 −1 0 0 1 0 −1 1 0 1 0 0 1 0 1           a1 a2 a3 a4      (2.43) (2.44)      bd1 bd2 bc1 bc2      = √1 2      1 0 −1 0 0 1 0 −1 1 0 1 0 0 1 0 1           b1 b2 b3 b4      (2.45)

or on a more compact matrix form:

Amm = MAstd (2.46)

Bmm = MBstd (2.47)

Since B = SA, it can easily be shown that:

Bmm = MSstdM−1Amm (2.48)

where the conversion matrix, M, has the property: M−1= MT [15].

Obviously, this simple transformation shows that it is still the very same information, only represented in a slightly different way.

One very important observation that can be made in the original derivation of this method, is the inherited assumption about the relation between the two conductors. This assumption is that Zodd = Zeven, which means that the

two transmission lines within the pair are uncoupled. For this reason, quite unsurprisingly, the result from this conversion will not be the same as when the system is driven by a true mixed-mode input signal22, even though the theoretical approximation achieves relatively good correlation for differential signals. For the mode-conversion parameters23, scdoch sdc, on the other hand, the differences will be large [17]. Uncertainties larger than the magnitude of the parameters themselves, have been reported [16]. Consequently, for accu-rate determination of these parameters, the mixed-mode conversion matrix

cannot be used.

21For a more rigid derivation of this method, the reader is referred to [15]. 22

Such a result can be obtained when a pure-mode vector network analyzer, PMVNA, is used [16]. This mode is also called true differential-mode by some instrument manufacturers.

23

(45)

However, computer simulation software seldomly support true mixed mode s-parameters. The approach used in this thesis is therefore to use the mixed-mode representation, but in order to create comparable results, both practical measurements and performed simulations were made single-ended.

2.6.3 Transfer scattering matrix

The transfer scattering matrix24, or simply just the T-matrix, is another ma-trix representation of incident and reflected waves used for N-port networks, very similar to the scattering matrix described in the previous section. The T-matrix has the advantage of making it possible to cascade several networks by simple matrix multiplication. In this thesis this method will be used for de-embedding, as will be described in section 2.6.4.

The T-matrix can be expressed in several ways [18]. These cannot, however, be used interchangeably; since the calculated parameters unsurprisingly will be different. For instance, for a simple two-port network, the T-parameters can be expressed as shown in both equation (2.49) and (2.50), where the former is used in this thesis.

" a1 b1 # = " T11 T12 T21 T22 # " b2 a2 # (2.49) " b1 a1 # = " T11 T12 T21 T22 # " a2 b2 # (2.50)

In order to convert from S-parameters to the T-parameters shown in equation (2.49), it is simply a matter of solving for a1 and b1 in the linear equation

system described by the s-parameters, and then the T-parameters can be identified. In this case:

(

b1 = s11a1+ s12a2

b2 = s21a1+ s22a2

(2.51)

24

(46)

Solving for a1 and b1 and identifying yields:                  T11= s121 T12= −ss2221 T21= ss1121 T22= −∆ss21 ∆s = s11s22− s12s21 (2.52)

The same approach can be used in order to convert back from T-parameters to s-parameters, yielding the following parameters:

                 s11= Ts1121 s12= ∆TT11 s21= T111 s22= −TT1211 ∆T = T11T22− T12T21 (2.53)

For the four-port networks used in this thesis, the following notation is used:

     a1 a3 b1 b3      =      T11 T12 T13 T14 T21 T22 T23 T24 T31 T32 T33 T34 T41 T42 T43 T44           b2 b4 a2 a4      (2.54)

The conversion parameters are computed in the same way as for the two-port network, but not shown here for convenience.

2.6.4 De-embedding

References

Related documents

The objective with this study was to investigate how supportive documents can be incorporated into undergraduate courses to promote students written communication skills.

Figure 4: Topological and physical cross-section view of four PE. The black colored PE sends data to its three horizontal neighbors.. hypercube transpose algorithm described by

Som rapporten visar kräver detta en kontinuerlig diskussion och analys av den innovationspolitiska helhetens utformning – ett arbete som Tillväxtanalys på olika

I regleringsbrevet för 2014 uppdrog Regeringen åt Tillväxtanalys att ”föreslå mätmetoder och indikatorer som kan användas vid utvärdering av de samhällsekonomiska effekterna av

So, if we look at a different distributor and try to estimate the total number of people employed in the distribution chain with regards to this distributor’s share of the sales

The JavaScript implementation of the array sorting algorithms proved to have a significantly better performance in the Chrome browser compared to WebAssembly.. For the rest of

regarded private and any unwanted entrance into this space is considered an intrusion of privacy (Goffman 1971, p52). Another way of respecting the personal space, as well as

This transition is completely embedded in PCB technology, the cavity backshort is filled using the same dielectric substrate (Megtron7) than the one applied to design the stripline,