• No results found

Modelling and Design of Oversampled Delta-Sigma Noise Sharpers for D/A Conversion

N/A
N/A
Protected

Academic year: 2021

Share "Modelling and Design of Oversampled Delta-Sigma Noise Sharpers for D/A Conversion"

Copied!
85
0
0

Loading.... (view fulltext now)

Full text

(1)

MODELLING AND DESIGN OF

OVERSAMPLED DELTA-SIGMA NOISE

SHAPERS FOR D/A CONVERSION

Masters Thesis Performed at Electronics Systems Department of Linkoping University

By

Vikram Singh Parihar

Reg nr: LiTH-ISY-EX-3616-2005 Linköping 2005-03-10

(2)
(3)

MODELLING AND DESIGN OF

OVERSAMPLED DELTA-SIGMA NOISE

SHAPERS FOR D/A CONVERSION

Masters Thesis Performed at Electronics Systems Department of Linkoping University

By

Vikram Singh Parihar

Reg nr: LiTH-ISY-EX-3616-2005

Supervisor: Dr. Per Löwenborg

Examiner: Dr. Per Löwenborg

(4)
(5)

Division, Department

Institutionen för systemteknik

581 83 LINKÖPING

Date 2005- 03- 10 Språk

Language RapporttypReport category ISBN

Svenska/Swedish

X Engelska/English X ExamensarbeteLicentiatavhandling ISRN LITH- ISY- EX- 3616- 2005

C- uppsats

D- uppsats Serietitel och serienummerTitle of series, numbering ISSN Övrig rapport

____

URL för elektronisk version

http://www.ep.liu.se/exjobb/isy/2005/3616/ Titel

Title Modelling and design of oversampled delta- sigma noise shapers for D/A conversion Författare

Author Vikram Singh Parihar

Sammanfattning Abstract

This thesis demonstrates the high- level modelling and design of oversampled delta- sigma noise shapers for D/A conversion. It presents an overview and study on digital- to- analog converters (DAC) followed by the noise shapers. It helps us to understand how to design a noise shaper model and algorithmic expressions are presented. The models are verified through high level simulations. The usage of models is to reduce the design time and get a good understanding for fundamental limitations on performance. Instead of time consuming circuit- level simulations, we point out the behavioural- level and algorithmic- level simulations of the noise shaper and the entire system comprising of interpolation filter, noise shaper followed by pulse amplitude modulation and reconstruction filtering. We have used the delta- sigma modulators to reduce the number of bits representing the digital signal. It is found that the requirement on oversampled DACs are tough. It is emphasised that the design of an oversampling converter is a filter design problem. There is a large number of trade- offs that can be made between the different building blocks in the OSDAC.

Nyckelord Keyword

(6)
(7)

ACKNOWLEDGEMENT

I would like to thank my supervisor Dr. Per Löwenborg for his esteemed guidance to perform this thesis. Also would like to thank Dr. J Jakob Wickner from Infineon Technology AB, Linköping, Sweden for suggesting the thesis and giving me guidelines. The work was being done at Electronics Systems Department of Linköping University. I would like to thank my friend Martin Ringlander for all his help and making me comfortable during my entire stay in sweden. Also I would like to thank my friends Harsha, David, Anton, Greger for their support.

(8)
(9)

ABSTRACT

This thesis demonstrates the high-level modelling and design of oversampled delta-sigma noise shapers for D/A conversion. It presents an overview and study on digital-to-analog converters (DAC) followed by the noise shapers. It helps us to understand how to design a noise shaper model and algorithmic expressions are presented. The models are verified through high level simula-tions. The usage of models is to reduce the design time and get a good under-standing for fundamental limitations on performance. Instead of time consuming circuit-level simulations, we point out the behavioural-level and algorithmic-level simulations of the noise shaper and the entire system com-prising of interpolation filter, noise shaper followed by pulse amplitude mod-ulation and reconstruction filtering. We have used the delta-sigma modulators to reduce the number of bits representing the digital signal. It is found that the requirement on oversampled DACs are tough. It is emphasised that the design of an oversampling converter is a filter design problem. There is a large number of trade-off that can be made between the different building blocks in the OSDAC.

(10)
(11)

TABLE OF CONTENTS

1

Introduction

1

2

Digital to analog conversion

3

2.1 Ideal transfer function . . . 6

2.2 Oversampling D/A converters . . . 8

2.3 Oversampling without noise shaping. . . 11

2.3.1 White noise assumption . . . 11

2.4 Oversampling advantage . . . 12

2.5 Noise shaping modulators . . . 14

2.5.1 First-order noise shaping . . . 15

2.5.2 Second-order noise shaping . . . 17

2.6 Gain in resolution using interpolation . . . 20

3

System under consideration

25

4

High-level simulation

37

5

Trade-Off/conclusion

51

References

53

Appendix A

I

A.1 Introduction . . . I A.2 Gain in resolution using interpolation . . . I A.3 Resolution improvement through noise shaping . . . III

(12)
(13)

LIST OF FIGURES

Figure 2.1 Alternative representations of an Ideal DAC. . . 4

Figure 2.2 Image-rejection filter (Low-pass) is used at the output of the DAC to reconstruct the signal. . . 5

Figure 2.3 Output Signal Spectrum with the images at the centre of the update frequency. . . 6

Figure 2.4 Output amplitude levels as functions of the input digital codes. . . 7

Figure 2.5 Oversampled D/A converter. . . 8

Figure 2.6 Spectrum in an oversampled D/A converter.. . . 10

Figure 2.7 Quantizer and its linear model. . . 11

Figure 2.8 (a) A possible oversampling system without noise shaping. (b) The brick- wall response of the filter to remove much of the quantization noise. . . 13

Figure 2.9 A modulator and its linear model: (a) a general delta-sigma modulator (interpolator structure); (b) linear model of the modulator showing injecting quantization noise. . . 14

Figure 2.10 A first-order noise shaped interpolative modulator. . . . 15

Figure 2.11 Second-order SD modulator 17 Figure 2.12 Simulated power spectral density for 1st, 2nd, 3rd - order modulator. . . 19

Figure 2.13 Interpolation together with low-resolution DAC where N-M LSB’s are Discarded.. . . 20

Figure 2.14 Simulated ENOB as a function of modulator order and oversampling ratio for 5-bit output modulator. . . 23

(14)

modulator . . . 24

Figure 3.16 System under consideration. . . . 25

Figure 3.17 Magnitude response of an up-sampled signal . . . 28

Figure 3.18 Noise model for interpolation with a random delay. . . . 28

Figure 3.19 Principle of interpolation filtering . . . 29

Figure 3.20 Magnitude response after interpolation by L. . . 29

Figure 3.21 Direct form linear-phase FIR filter structure. . . 31

Figure 3.22 Principle of pulse-amplitude modulation. . . 33

Figure 3.23 Principle of reconstruction filtering . . . 34

Figure 4.24 Simulated magnitude response of each stage in a multistage interpolation filter. . . 42

Figure 4.25 Simulated total magnitude resp. of the multistage interpolation filter.. . . 43

Figure 4.26 First order 5-bit modulator with different number of bits with an ideal digital filter, ie. without any noise generated by digital filter. . . . 44

Figure 4.27 Second order 5-bit modulator with different number of bits with an ideal digital filter, ie. without any noise generated by digital filter. . . 45

Figure 4.28 First order 8-bit modulator with different number of bits. . . 46

Figure 4.29 Second order 8-bit modulator with different number of bit with an ideal digital filter, ie. without any noise generated by digital filter. . . 47

(15)

1

INTRODUCTION

During the last few decades, digital signal processing has evolved to a sophis-ticated level bringing advantages like more robustness, noise-insensitivity, reliability and testability, better production yield. Throughout the years there has been an increase demand for high-speed communications. During the last decades, the Internet and mobile terminal usage has increased dramatically. In our part of the world, they are now to a large extent every man’s property. To bring all above-mentioned merits into real world applications, it is desira-ble to convert digital-domain signals into real-world analog-domain represen-tations and real-world analog signals into digital-domain represenrepresen-tations. This makes a digital-to-analog and analog-to-digital conversion impossible to avoid or prevent necessity for such systems which require digital signal processing of the analog signals. Digital processing of audio signals for cellu-lar and voice telephony, CD players and cam-coders is an example of digital-to-analog and analog-to-digital converters application, greatly varied in nature with respect to speed, conversion bandwidth and resolution. For exam-ple, digital audio needs a resolution of 14-bits with a bandwidth of 20kHz while voice signals require a bandwidth of 4kHz[3].

(16)
(17)

2

DIGITAL TO ANALOG CONVERSION

Digital-to-Analog converters are one of the major blocks of systems which require interfacing of digital components to the real-world analog signals. Digital-to-Analog converters transform digital binary representation (input-word) to a corresponding analog signals to for subsequent analog processing. The analog signal carrier representing the same signal as the digital input does. An essential issue is that the input is digital, hence discrete time and discrete amplitude. Therefore the signal comprises of truncation noise.

The performance of the DAC can be determined using measure in both time and frequency domain. The behaviour of errors due to circuit non-ideal-ities, e.g., distortion and noise, can be of several different types. One can dis-tinct between static and dynamic properties of the errors. The static properties are independent (memory-less) and the dynamic properties are signal-dependent. A typical static error is the deviation from the wanted straight-line input/output DC transfer characteristics, such as gain error, offset, differential (DNL) and integral non-linearity (INL), etc. The dynamic errors mostly become more obvious and dominating as the signal and clock frequencies increase, whereas the static errors are dominating at lower frequencies. Dynamic performance is determined by signal-dependent errors such as slew-ing, clock feed-through (CFT), gulches, settling errors, etc. One can say that, the static errors determine the best-case performance of the converter.

As mentioned above that the purpose of D/A conversion (DAC) is to trans-form the digitial representation (input word) into corresponding analog repre-sentation. This is illustrated by the black box view of the DAC as shown below in Figure 2.1. The input specified by the N-bit words and the output,

(18)

the analog representation, is typically generated by the pulse-amplitude mod-ulation (PAM), where the amplitude level is determined by the digital input word.The digital input has a limited amplitude resolution because of the word length or number of bits.

Figure 2.1 Alternative representations of an Ideal DAC

Due to the discrete-amplitude there will be quantization noise in the out-put. According to the poissions formula, the analog spectrum can be given by the following expression.

(2.1)

Where T = 1/f, A = F{a(t)} is the signal, and P(jω) = F{p(t)} is the PAM

waveform. For ideal reconstruction-in terms of time domain properties and not considering the quantization noise, one would require that p(t) is a sinc according to

(2.2)

In the frequency domain this gives an ideal filtering function

(2.3)

which cuts out the desired part of the spectrum. This is of course not

pos-A j( ω) = P j( ω)⋅X e( jωT) = …… …… P j( ω) 1 T --- X jω j2πk T ---–     k=∞ ∞

= P t( ) sin( )t t ---⋅∀t = P( )ω F p t{ ( )} 1 ω ≤ fs⋅π 0 ω ≤ fs⋅π    = =

(19)

sible to realize in practice and one may instead choose p(t) to be rectangular pulse instead as

(2.4)

The output becomes sample-and-hold (S/H) during one update period.In the frequency domain this corresponds to a sinc as

(2.5)

This implies that the signal will not be completely filtered out at frequen-cies above fs/2. Therefore, a so called image-rejection filter is needed at the

output of the DAC in order to attenuate the images. A low-pass filter, as is illustrated in Figure 2.2, is used to do this operation.

Figure 2.2 Image-rejection filter (Low-pass) is used at the output of the DAC to reconstruct the signal.

In Figure 2.3 (left hand side of the figure) we illustrate how the signal spectrum is repeated and weighted as given by the Poissions formula in Equa-tion (2.1) for a DAC with sample-and-hold funcEqua-tion. The solid line indicates the desired filtering functions in the frequency domain.The dash-dotted line indicates the characteristics of the sinc function of an ideal LP filter. In Figure 2.3 (right hand side of the figure) we show the signal spectrum as it has been weighted by the sinc function. Within the signal band (in this case it is from f=0 to f=fs/2) we see that the signal spectrum is attenuated, especially

at higher frequencies. p t( ) 1 0< <t T 0 else    = P( )ω F p t{ ( )} sin( )ωt t ---= =

(20)

Figure 2.3 Output Signal Spectrum with the images at the centre of the update fre-quency.

2.1 IDEAL TRANSFER FUNCTION

The static, ideal transfer function of a DAC is given by a mapping of a set of input codes on a set of output amplitude levels. This is illustrated for a lin-ear DAC in Figure 2.4. Depending on the DAC architecture the choice of the code set varies. In the static case the output amplitude (current, voltage etc.) of the DAC can be written as

(2.6)

where wmis the corresponding weight for the mthbit b

m, and the M is the

number of bits. The output amplitude is generated by the weighting the input bits, where the weights are implemented with analog components. The input code is as follows:

(2.7) where bMis referred as the most significant bit (MSB) and b1as the least

Aout wmbm m=1 M

= X = (bM,bM1,…………b1)

(21)

significant bit (LSB). It becomes quite clear that for binary code, with M=N bits, we have 2N different codes.

Figure 2.4 Output amplitude levels as functions of the input digital codes.

The set of output amplitude levels is given by

(2.8)

where ∆is the amplitude level corresponding to one of the LSB.

Some-times we can refer to this amplitude level as simply “one LSB”.The full scale output amplitude is given by FS = Amax- Amin. The output LSB step compared

to the full-scale output is referred to as the converters resolution.

(2.9)

The resolution can also be expressed in bits as

(2.10)

and with a binary code, we have

0 1 2 3 4 5 6 7 0 1 2 3 4 5 6 7

DAC DC transfer characteristics

Output amplitude level

Input value

Amin,Amin+∆,………,Amax–∆,Amax

R FS ---= R 10 FS ---    log =

(22)

(2.11)

2.2 OVERSAMPLING D/A CONVERTERS

The oversampling D/A converter (OSDAC) is typically used when high line-arity is preferred over high bandwidth. OSDAC’s are traditionally used for high resolution medium-to-low speed applications such as high quality digital audio since the bandwidth is relatively low and high linearity is required.The major reasons for their popularity include the following reasons.

1) Oversampling converters relax the requirement placed on the analog circuitry at the expense of more complicated digital circuitry. Hence, analog components have reduced requirements on matching tolerances and amplifier gains. Therefore, one can avoid many of the analog errors.

2) Oversampling converters simplify the requirements place on the analog anti-aliasing filters for ADC and reconstruction filters for DAC. As mentioned above that in order to reduce the design effort on the analog filters, we use interpolation filters to increase the update frequency compared to the signal frequency. The complexity of the digital circuits preceding DAC is increased, giving rise to higher power dissipation and increased chip area. However, this can be worth the effort, since it simplifies the required analog circuitry. In Figure 2.5 we show the oversampled D/A converter.

Figure 2.5 Oversampled D/A converter.

Let us consider the signal y(n) in the above Figure 2.5 to illustrate the principle we use again the spectra in Figure 2.6 The spectrum of y(n) is then as shown in Figure 2.6(a). The first step in an oversampled D/A converter is

R 102 N ---log =

M

y(n)

H(z)

H

a

(s)

D/A

y

1

(m)

x

1

(m)

Mf

s

f

s

= 1/T

Mf

s

x

r

(t)

x

2

(t)

(23)

interpolation by a factor of M. This results in the sequence x1(m) whose

trum is shown in Figure 2.6(c). We see that this sequence has the same

spec-trum as x1(m) in the oversampled. In other words, it corresponds to the

information oversampled by a factor of M. In the next step, reconstruction is performed through a D/A converter followed by a reconstruction filter. The

D/A converter works at the higher sampling frequency Mfs. Ideally,

Q(jω)Ha(jω) and Ha(jω) are as shown in Figure 2.6 (d) and (e), respectively.

We see that the stopband edge of the analog reconstruction filter must satisfy (2.12) By increasing M, we thus relax the requirements on the filter since a wider transition band is then allowed.

As analog reconstruction filter, one can then use a conventional lowpass filter with (approximately) constant gain in both the passband and stopband. In that way, the requirements on this filter are further relaxed. Instead, the requirements on the digital filter has become more stringent, but digital filters can be implemented with arbitrarily high precision.

ωas 2πM f s–πfs

(24)

Figure 2.6 Spectrum in an oversampled D/A converter. H(ejωT1) ωT [rad] π/M –π/M (a) π –π Y(ejωT) 2π –2π ωT1 [rad] π/M –π/M (c) π –π X1(ejωT1) 2π –2π ωT1 [rad] π/M –π/M (b) π –π Y1(ejωT1) 2π –2π –πMfs 2πMfs–πfs ω [rad/s] –2πMfs+πfs –πfs Q(jω)Ha(jω) (d) Ha(jω) (e) ω [rad/s] Xr(jω) = Q(jω)Ha(jω)X1(ejωT1) 1 (f) πfs πMfs ω [rad/s] πfs –πfs ω [rad/s] πfs –πfs Q(jω) πfs –πfs 2πMfs–πfs –2πMfs+πfs –2πMfs+πfs 2πMfs–πfs

(25)

2.3 OVERSAMPLING WITHOUT NOISE SHAPING

In this section, we will discuss the advantage of sampling at higher than the Nyquist rate. We will eventually see that the extra dynamic an extra dynamic range can be obtained by spreading the quantization noise power over a larger frequency range. Also we will see that the increase in dynamic range is only 3 dB for every doubling of the sample rate. In order to obtain much higher dynamic-range improvements as the sampling rate is increased. We begin by modelling a quantizer as adding quantization error e(n), as shown in Figure 2.7. The output signal, y(n) is equal to the closest quantized value of x(n). The quantization error is the difference between the input and output values. This model is exact if one recognizes that the quantization error is not an inde-pendent signal but may be strongly related to the input signal, x(n).

Figure 2.7 Quantizer and its linear model.

2.3.1 WHITE NOISE ASSUMPTION

If x(n) is very active, e(n) can be approximated as an independent random

number uniformly distributed between +-∆/2,where∆ equals the difference

between two adjacent quantization levels. Thus, the quantization noise power equals ∆2/12 and is independent from the sampling frequency, fs. Αlso the power spectral density of noise e(n), Pe(f) is white (i.e., a constant over

fre-quency) and all its power is within +-fs/2. Assuming white quantization

noise, the power spectral density is calculated by noting that the total noise power is∆2/12and, equals signals power spectral density within +-fs/2.

(26)

(2.13)

where kx is equivalent to

(2.14)

2.4 OVERSAMPLING ADVANTAGE

Oversampling occurs when the signals of interest are bandlimited to f0 and the sample rate is at fs, where fs> 2f0. We define the oversampling ratio, OSR,

as

(2.15)

After quantization, the signal of interest are all below f0, y1(n) is filtered by

H(f) to create the signal y2(n), as shown in Figure 2.8. This filter eliminates

the quantization noise (together with any other signals) greater than f0. If we assume the input signal to be to be a sinusoidal wave, its maximum peak value without clipping is at 2N(/2). For this maximum sinusoidal wave,

the signal power, Ps, has a power equal to

(2.16)

The power of the input signal within y2(n) remains the same as before

since we assumed that the signal’s frequency content is below f0. However, the quantization noise power is reduced to

(2.17) Pe x( ) fs 2 ---fs 2 ---∫ k2xdf fs 2 ---– fs 2 ---∫ kxfs2 12 ---= = = k x12 ---    1 f s ---= OSR fs 2 f 0 ---= Ps2 N 2 2 ---     2 2 22N 8 ---= = Pe Pe( )f H f( )2df f0 f0kx2df f0f02 f 02 fs 12 ---2 12 --- 1 OSR ---    = = = =

(27)

Figure 2.8 (a) A possible oversampling system without noise shaping. (b) The brick-wall response of the filter to remove much of the quantization noise.

Therefore, doubling OSR (i.e., sampling twice the rate) decreases the quantization noise power by one-half or, equivalently, 3 dB (or equivalently 0.5 bits).

We can calculate the maximum SNR (in dB) to be the ratio between the ratio of maximum sinusodial power to the quantization noise in the signal

y2(n). From Equations (2.16) and Equations (2.17), we have

(2.18)

which is equal to

(2.19) The fisrt term is the SNR due to N-bit quantizer while the OSR term is the SNR enhancement obtained from oversampling. Here one can see that over-sampling gives a SNR improvement of 3 dB/octave or, equivalently, 0.5 bits/ octave. The reason for this SNR improvement through the use of oversam-pling is that when quantized samples are averaged together, the signal adds linearly, whereas the noise power adds as the square root of the sum of squares. SNR 10 Ps Pe ---      log 10 3 2 ---22N    

log +10log(OSR)

= =

(28)

2.5 NOISE SHAPING MODULATORS

The modulator is designed to perform filtering functions. In the simplest case, the input signal should be low-pass filtered through the modulator. The larger quantization noise power that is introduced by the modulator should be high pass filtered. In some designs, all-pass filters and or bandpass filters are being used. A general noise shaped delta-sigma modulator and its linear model is shown in the Figure 2.9. The linear model shown in the figure above is having two independent inputs (which is an approximation), we will derive a signal transfer function (STF) and noise transfer function (NTF).

Figure 2.9 A modulator and its linear model: (a) a general delta-sigma modulator (interpolator structure); (b) linear model of the modulator showing injecting quanti-zation noise.

(2.20)

(2.21) It is quite important to note that the zeros of the noise transfer function, (NTF), will be equal to poles of the H(z). In other words, when H(z) goes to infinity, one can see from the Equation (2.14) that NTF(z) goes to zero. We can also write the output signal as the combination of the input signal and the noise signal, with each being filtered by the corresponding transfer function. In frequency domain, we have

STF z( ) Y z( ) U z( ) --- H z( ) 1+H z( ) ---= = NTF z( ) Y z( ) E z( ) --- 1 1+H z( ) ---= =

(29)

(2.22) In order to shape the quantization noise, we can choose H(z) in a way that its magnitude is large from 0 to Fs(i.e over frequency band of interest). With this choice the signal transfer function (STF) will be unity in frequency band of interest. The noise transfer function will be equal will be approximately zero over the same band. Thus, the quantization noise is reduced over the quency band of interest and the signal is not affected at all. The high fre-quency noise is not reduced by the feedback as there is a little loop gain at high frequencies. However, the analog fltering can remove the out-of-band quantization noise with very less effect on the desired signal.

2.5.1 FIRST-ORDER NOISE SHAPING

In order to realize first order noise shaping, the noise transfer function, NTF(z) should have a zero at dc (i.e., z = 1) do that the quantization noise is high-pass filtered. Since the zeros of the noise transfer function NTF(z) are equal to the poles of H(z), we obtain first order noise shaping by letting H(z) be a discrete time integrator (i.e., have a pole at z = 1).Specifically,

(2.23)

A block diagram for such a choice is shown in Figure 2.10.

Figure 2.10 A first-order noise shaped interpolative modulator.

TIME DOMAIN VIEW

From a time-domain view, if the feedback is operating correctly and the system is stable, then the signal x(n) is bounded.Also the integrator has infi-nite dc gain, the average value of the integrator’s input must be exactly zero.This implies that the average value (i.e., dc value) of y(n).

Y z( ) = STF Z( ) U z( )+NTF z( ) E z( )

H z( ) z

z1

---=

(30)

FREQUENCY DOMAIN VIEW

Also if one considers the frequency-domain view, the signal transfer func-tion (STF) is given by

(2.24)

and the noise transfer function (NTF) is given by

(2.25)

one can see that the signal transfer function is simply a delay, while noise transfer function is a discrete time differentiator (i.e., a high pass filter.). In order to find the magnitude of the noise transfer function, |NTF(f)|, we let z = ejωt = ej2πf/f

sand end up with the following equation.

(2.26)

Taking the magnitude of both sides, we have the high-pass function

(2.27)

Now the quantization noise power overt the frequency band from 0 to f0is given by (2.28) STF z( ) Y z( ) U z( ) ---1 z1 ( ) ---1 1 z1 ( ) ---+ --- z1 = = = NTF z( ) Y z( ) E z( ) --- 1 1 1 z1 ( ) ---+ --- 1z1= = = NTF f( ) 1 e j2π f fs ---– – e jπ f f s ---e jπ f f s ---– – 2j --- 2j e jπ f fs ---– …… = = = …… πf f s ---    sin 2j e jπ f fs ---– = NTF f( ) 2 πf f s ---    sin = Pe Pe2NTF f( )) 2df f0f 0 ∫ ∆ 2 12 ---      1 f s --- 2 πf f s ---    sin df f0f 0= =

(31)

and making the approximations that f0<< fs(i.e., OSR >> 1), so that we can approximate sin((πf)/fs) to be(πf)/fs, we have

(2.29)

Assuming the maximum signal power is the same as that obtained before in Equation (2.16), the maximum SNR for this case can be given by

(2.30)

or equivalently,

(2.31) From the above equations, its quite evident that doubling the OSR gives an improvement for a first order modulator of 9 dB or, equivalently a gain of 1.5 bits/octave. This result can be compared to the 0.5 bits/octave when no noise shaping is used.

2.5.2 SECOND-ORDER NOISE SHAPING

The modulator shown in Figure 2.11 realizes second order noise-shaping (i.e., the noise transfer function, NTF(z) is a second order high-pass func-tion). For this modulator, the signal transfer is given by

(2.32)

Figure 2.11 Second-orderΣ∆ modulator

Pe2 12 ---      π2 3 ---      2 f0 fs ---     3 2π2 36 --- 1 OSR ---   3 = = SNR 10 P s Pe ---      log 10 3 2 ---22N     log 10 3 2π --- OSR( )3 log + = = SNR = 6.02N+1.765.17+30log(OSR) STF f( ) = z1

(32)

and the noise transfer function is given by

(2.33) Also the magnitude of the transfer function can be given by

(2.34)

resulting in the quantization noise power over the frequency band of inter-est is given by

(2.35)

Also if we again assume that signal power is obtained by the equation (signal power equation)

(2.36)

or equivalently

(2.37) One interesting point to note here is that doubling the OSR improves the SNR for a second order modulator by 15 dB or equivalently, a gain of 2.5 bits/octave. NTF f( ) = (1z1)2 NTF f( ) 2 πf fs ---    sin 2 = Pe4 π4 60 --- 1 OSR ---   5 = SNR 10 Ps Pe ---      log 10 3 2 ---22N     log 10 5 2π --- OSR( )5 log + = = SNR = 6.02N+1.7612.9+50log(OSR)

(33)

Figure 2.12 Simulated power spectral density for 1st, 2nd, 3rd - order modulator.

In Figure 2.12, the power spectral density (PSD) for the 1st, 2nd, 3rdorder

modulators are shown. We see that for lower frequencies the attenuation of the noise is higher for higher modulators orders. There is a breakpoint where all modulators have equal attenuation. This is at the normalized frequencyπ/ 3, or at the normalized frequency 1/6. Typically OSR should be high enough to guarantee that the signal is at lower frequencies than this breakpoint. Depending on number of bits in the output of the modulator they can be divided into multi-bit or single-bit modulators. For one-bit modulator (or lower bit) we have to be careful with the design of filtering functions. Since the gain in the feedback loop is high, the modulator becomes sensitive to sta-bility issues. Especially, for higher-order, lower-bit modulators, we need poles and zeros (zinot equal to 1) to control the cut-off frequency of the NTF as well as the gain of the feedback.

The modulators are also referred to as sigma-delta modulators,Σ∆

sym-bol, since they accumulate (summation-sigma) and difference signal (delta) that is fed back.

(34)

2.6 GAIN IN RESOLUTION USING INTERPOLATION

The interpolation process “compresses” the signal spectrum and the signal and quantization noise power is kept constant within the frequency range from DC to fN. The quantization noise is determined by the resolution at the input of the interpolator, hence the data word length. However, now we can utilize the extra frequency range that we gained from the interpolation. We have that the resolution of the input to the interpolator is N bits. The SNR within the Nyquist range for both the input and output of the interpolator is given by

Figure 2.13 Interpolation together with low-resolution DAC where N-M LSB’s are Discarded.

dB (2.38)

One can use a DAC with a lower nominal resolution, i.e., we throw away N-M LSBs. Thereby the signal becomes truncated and there will be a higher quantization/truncation noise compared to the original N-bit resolution. The “new” noise is spread evenly throughout – if it is not too small so that correla-tion and distorcorrela-tion occur – the frequency range from DC up to fO,N. As long as we can guarantee that the “new” noise power within the original Nyquist band, fN, is lower than the “old” noise power we do not loose in performance. The truncation in combination with an ideal LP filter, will function as a pulse amplitude modulation (PAM) of the signal.

Consider the definition of SNR for the M-bit DAC.

SNR = 6.02 N+1.76 SNR M 10 10 Ps Sq( )M ( )ffO N, ---log10 10 Ps Sq( )M ( )ffN ---fN fO N, ---⋅       log= =10 10 P s S q( )M ( )ffN ---log10 10 f N fO N, ---log ⋅ – … = =

(35)

(2.39)

where is the noise power spectral density. If we assume an ideal LP filter with cut-off frequency at fN, we only have to consider the SNR within this fre-quency range, which is expressed by the first term in Equation (2.39). Hence, we have

dB (2.40)

From Equation (2.40) we can draw the intuitive conclusion that the narrower signal bandwidth compared to the update frequency (higher OSR), the higher SNR. Equation (2.40) can be written as

dB (2.41)

From Equation (2.39) and Equation (2.40) we find that for each doubling of the OSR, the effective number of bits is increased by half a bit. To guarantee that we do not loose in resolution we need to compare Equation(2.41) with Equation (3.38) and we have

(2.42) or

(2.43)

For example, if N = 4 and OSR = 32, we can use a 12-bit (11.5-bit) DAC. Another advantage of using a smaller bandwidth is the lower sinc attenuation of signal at the passband edge. The attenuation of the signal at the original Nyquist frequency is given by

10 10 Ps Sq( )M ( )ffN ---log ⋅ –10log10 OSR( )dB = SNR = SNRM+10 log10OSR SNR = 6.02 M+1.76+10 log10OSR 6.02 M+1.76+10 log10OSR>6.02 N+1.76 M N log10OSR 10 4( ) log ---– ªN1.66 log10OSR >

(36)

(2.44)

If, for example, OSR = 16, we have an approximate attenuation of only 0.1 dB.

It becomes obvious from the previous discussion that a high OSR is a good approach to reach high performance. The advantages are that we may relax the analog image-rejection filters significantly, we may use a DAC with a lower nominal resolution, and the sinc attenuation of the signal is lower. Notice that the linearity of the DAC must still meet the overall resolution requirement specification.

The disadvantages with oversampling are that there is an increased complex-ity of digital circuits and the clock frequency, chip area, and power consump-tion is increased. However, since the analog filter has a lower order, it will consume less power and occupy less chip area.

In the graph depicted below a simulated version of achievable effective number of bits (ENOB) when using a sub-DAC with 5-bit, Figure 2.14 and 8-bit, Figure 2.15 nominal resolution (N = 5 and 8) and where the modulator order, L, is varied as show in the Figure. The ENOB has been found using the iterative formula in equation (2.26) and hence no approximations are being made. One can see that there is a clear trade-off between modulator order and over-sampling ratio. Asinc(fN) –10 10 c πfN f O u, ---sin 2 log20 10 c π 2 ---OSR ---sin log = =

(37)

Figure 2.14 Simulated ENOB as a function of modulator order and oversampling ratio for 5-bit output modulator.

(38)

Figure 2.15 Simulated ENOB as a function of modulator order and oversampling ratio for 8-bit output modulator

For example if one want to reach a 14-bit resolution (i.e., gain 8 bits reso-lution), we need a second order modulator and OSR >= 32, or a third order modulator and OSR >= 16, etc.

One can reach a conclusion that for higher order modulators the achieva-ble ENOB will not be as found in the figure, since one has to consider the added poles and zeros to the noise transfer function.

(39)

3

SYSTEM UNDER CONSIDERATION

In this chapter, we discuss the functional description of the system we had considered and derive requirements on each sub-system. The Entire system is depicted in the Figure 3.1 is partitioned into a set of suitable subsytems.We see that one possible partitioning is to divide the system into interpolation, Delta-Sigma noise conversion, digital-to-analog conversion followed by ana-log filtering.

Figure 3.1 System under consideration.

During system partitioning, a more complex system, such as that of Figure 3.1 is decomposed into smaller sub-systems. During this process, the overall requirements are transformed into requirements on the individual subsystems and each subsystem is given a certain amount of design margin. Design mar-gin can only be given to bound-type requirements. Further, only the variable independent requirements influence the system partitioning. For the system depicted above, the requirements on SNR and SFDR are thus given a certain margin and are transformed into subsystems requirement.

(40)

the system in Figure 3.1. Eventually, we are interested in SNR, measured at the output of the system when applying the suitable test signals at the input. The SNR is defined as in Equation(3.1), requires calculation of average signal power.

(3.1)

The average power of a deterministic signal x(n) is defined as

(3.2)

In the system, we had considered or used single stationary sinusoidal input signal x(n) = A sin(ωinput_signalTn). For such a signal the power becomes

(3.3) The average power of the noise can be calculated from the power spectral density. The average power of the noise signal e(n) can be calculated by inte-grating the power spectral density (PSD) according to

(3.4)

where Rnoise(ejωt) is the power spectral density.

For the system depicted in Figure 3.1, the following noise sources are con-sidered:

Quantization noise due to the quantization of the input signal x(n) • Quantization noise generated inside the interpolation filter

• Quantization of the input signal to the DAC.

In order to efficiently determine the SNR degradation that each sub-block, we use the following approach.We determine the SNR degradation of each

sub-SNR 10 Psignal Pnoise ---      log = Px 1 N ---- x n( )2 n=0 N1

Nlim= Px A 2 2 ---= P noise 1 2π --- R noise e jωt ( ) ωd( )t π – π

=

(41)

block of the system given in Figure 3.1 when the input signal is a stationary sinusoidal signal according to the x(n) = Asin(ωinput_signalT.n) with (0 <ω <

ω0)with an average power Px= A2/2. The input signal x(n) is presented with

a finite number of bits, resulting in round-off noise ex(n) at the input. The round-off noise is modelled as an un-correlated zero-mean stationary process with a power spectral density given by

(3.5)

The noise power is given by

(3.6)

The initial SNR is then given by

(3.7)

The input signal is first upsampled.The resulting up-sampled signal x

interpo-lated (m) is given by

(3.8)

and has an average power that is reduced by L, i.e., Pinterpolate= Pinput/L. The

reduction in power can be explained by the fact that up-sampling compresses the spectrum by L and a factor of 1/L emerges due to the properties of the delta function sinceδ(ωΤ) = (1/L)δ(ωΤ/L). Τhe magnitude response of an

up-sampled signal is shown in Fig. Αfter up-sampling, the frequency region

|ωΤ1|<= πcontains L sinusoidals, each having an average power given by A2/ 2L2). For example, with L = 3, the sinusoidal signals are situated atωT

1=

ωinputsignalT1 andωT1 = 2π/L +- ωT1. This is illustrated in Figure 3.2.The

total power of the interpolated signal xinterpolate(m) is then A2/2L, i.e., reduced

by L compared with the input signal power. Only one sinusoidal signal is

R noise e jωt ( ) σ2noise 2 2 W( x1) – 12 ---= = Pe noise 1 2π --- Rnoise(ejωt) ωd( )t π – π

σ2noise = = SNRinput 10 P x Pe noise ---log 10 6 A 2 2 2 W( x1) – ---        log = =

xinterpolate AsininputsignalT1m) m = 0,±L 2L,± ,0 otherwise

  

(42)

present in the frequency region |ωT1| =ω0T1/L, and its signal power is given

by A2/(2L2).

Figure 3.2 Magnitude response of an up-sampled signal

The interpolation results in a cyclo-stationary noise unless a random delay is introduced, by which the resulting noise after up-sampling will be stationary with properties is depicted in Figure 3.3 and is given by Equation(3.9)

Figure 3.3 Noise model for interpolation with a random delay.

(3.9)

The average auto-correlation function is given as

(3.10)

The average power spectral density of the total noise after interpolation is given by (3.11) ωΤ1 ω0T1 X1(ejωT) −π/L π/L

...

2π/L 2π 0 G1(z) L x(n) z–K x2(m) ex(n) x1(m) mq x1 mq x L ---= rq x1qx1 1 L --- rq x1qx1 k L ---    k = 0,(±L),(±2L) …, 0 Otherwise      = Rinterpolatenoise e jωT1     Rnoise e jωT1L     L --- σ 2 noise L ---= =

(43)

The noise power in |ωΤ1| <= π/L. (corresponding to |ωT| <=π) after upsam-pling is given by

(3.12)

The SNR is then given by the equation

(3.13)

Figure 3.4 Principle of interpolation filtering

The principal of interpolation followed by digital filtering is basically used to increase the sampling frequency without aliasing (errors), all components other than those centred atωT1= 0 andωT1= 2πis removed by the interpo-lation filter. The principle of interpointerpo-lation filtering is illustrated in Figure 3.4. The interpolation filter G1(z) removes the aliased and undesired part of the

spectrum after up-sampling and has a pass-band gain equal to L. Ideally, out of L sinuosity signals in | ωT1| <= π only those in | ωT1 | <= ω0T/L

remains. The out-of-band signals are non-zero but their sizes can be control-led by the interpolation filter stop-band attenuation. The magnitude response after interpolation is shown in Figure 3.5.

Figure 3.5 Magnitude response after interpolation by L.

Pinterpolatenoise 1 2π --- Rinterpolate e jωT 1    d ωT 1 ( ) = SNR interpolate 10 Pinterpolate Pinterpolatenoise ---log 10 6 A 2 2 2 W( x1) – ---        log = = ωΤ1 ωcT1 G1(ejωT)

...

2π/L 2π 0 K ωsT1 ωΤ1 ωcT1 X2(ejωT)

...

2π/L 2π 0 K ωsT1

(44)

The total average power of the remaining (desired) sinusoidal signals is given by

(3.14)

while the undesired sinusoidal signals are having a power which is given by

(3.15)

The noise power after interpolation filter consists of three parts

• Filtered noise coming from the previous stage.

• The noise generated by the digital filter which is round-off-noise which

occurs when doing truncation and rounding the data.

• The third noise source is the error caused by truncation of the input to the DAC

If we assume that the data is rounded to WG1bits, the corresponding noise can be modelled as un-correlated with zero mean and variance given by

(3.16)

The third noise source is the error caused by the truncation of the input to the DAC. Since, the DAC only performs pulse amplitude modulation on the input data represented with the finite number of bits, WDAC, the input data is inevi-tably truncated or rounded.

The interpolation filter considered is a linear phase FIR filter. Such filters are always implemented without recursive loops and therefore in principle round-ing and truncation is not neccessary. However, it is common to round or

trun-P InterpolationFilter G1 e jωT1     2A2 2L2 --- A 2 2 ---= = P G1 e j 2π L ---–ωinputsignalT1              2 A2 2L2 ---+…… = …… G1 e j 2π L ---+ωinputsignalT1               + σe2 2 2 W G11 ( ) – 12 ---=

(45)

cate data in order to reduce the hardware complexity since the data word-length grows large as the filter order increases. The Figure 3.6 depicts the interpolation filter, which is direct form linear-phase FIR filter is being con-sidered in the design.

Figure 3.6 Direct form linear-phase FIR filter structure.

The next subsystem in our system is the noise shaper. As mentioned in the second chapter, the noise shaper is used to increase the dynamic range of the D/A converter without increasing the number of bits. If one can use large over-sampling ratio then 1-bit DAC can be used.The 1-bit DAC will show a large dynamic range and have a very low distortion without needing accurate element.

The average power spectral density after the noise shaper is given by

(3.17)

The noise power after delta-sigma modulation is given by

(3.18) h(0) x(n) y(n) T T T T T T T T h(1) h(2) h(N/2–1) h(N/2) RNoiseShaper G1 e jωT1     R InterpolateFilter e jωT1     ……+ = …… R Noiseshaper e jωT1     + PNoiseShapernoise 1 2π --- RNoiseShaper e jωT1     ωd( )t ωinputT 1 L ---– ωinputT1 L

---∫

NTF e jωT1     =

(46)

Also the power of the signal before the noise shaper is given by the following equation

(3.19)

After solving Equation (3.19), i.e. putting the values of RNoiseShaperand Noise

Transfer Function |NTF(ejωΤ)| from the Equation (3.1), we can determine

noise power and signal power and hence calculate SNR value after noise shaper.

After shaping the noise of the signal, the next subsystem in the system is PAM. The resulting signal x(t) after the pulse-amplitude modulation (PAM) is given by

(3.20)

where p(t) is the modulation pulse. For practical digital-to-analog conversion

p(t) is given by

(3.21)

In the frequency domain, the Fourier transform of x(t) is given by

(3.22)

where P(jω) is the Fourier transform of p(t) and is given by

(3.23)

It is the frequency response of the pulse in Equation (3.23) which is responsi-ble for the sinc-weighting of the DAC. The principle of pulse-amplitude

mod-P NS G1 e jωT1     A2 2L ---= x t( ) x m( )p t mT 1 – ( ) m=–∞ ∞

= p t( ) 1 0<t<T1 0 otherwise    = x t( ) P j( ω)X e jωT1     = P j( ω) T1e j ωT1 2 ---–     ωT1 2 ---    sin ωT1 2 --- ---=

(47)

ulation is shown in Figure 3.7.

Figure 3.7 Principle of pulse-amplitude modulation.

The sinc-weighting according to Equation (3.22) and (3.23) decreases the signal amplitude in the frequency band of interest and the decrease is largest at the pass-band edge. The frequency response of the pulse is equal to zero when sin(ωT1/2) = 0.This implies thatω will be equal to k2π/L for k>0.

The spectrum of the interpolated signal, shown in Figure 3.5, contains repeti-tions for all angular frequencies which results in infinitely many repetirepeti-tions in the spectrum of x(t), which is shown in Figure 3.7. Since the spectrum mag-nitude of the pulse decreases as, the repetition for k = 1 will be the largest unwanted copy.

The Power of the signal after the PAM will be given by

(3.24)

The power spectral density will be given by

(3.25)

The noise power after the DAC is given by

ω ωc

...

2π/T 2πL/Τ 0 ωs P(jω) Px DAC A 2 Ginterpolated e jωinputT1    2P jω input ( )2 = Re DAC e jωT1     1 T1 --- P j( ω)2Ginterpolate e jωinputT1    2…… = ……R Noiseshapere jωT1 ( ) …… +Ginterpolate e jωT1     R Noiseshaper e jωT1     +

(48)

(3.26)

The SNR value after pulse amplitude modulation can be calculated by the Equation (3.1) by substituting the values of signal power and noise power given by Equation (3.24) and Equation (3.25) respectively. The pulse ampl-tude modulated stochastic process is filtered through the reconstruction filter

HReconstruction(ejωT). In order to band-limit the spectrum before a possible

transmission over a radio channel and to reconstruct the sampled signal x(n) as good as desired, an analog reconstruction filter, HReconstruction(ejωT), is

required after the DAC. The reconstruction filtering of HReconstruction(ejωT) is

illustrated in Figure 3.8. The purpose of the reconstruction filtering is to remove the undesired repetitions of the spectrum according to sin(ωT1/2) = 0,

starting at. Therefore, the stopband edge of HReconstruction(ejωT) is located at

the minimum frequency of such an aliasing term and the passband edge at the edge of the frequency-band of interest, i.e., the passband and stopband edges of the Reconstruction filter are given by k =1. Therefore, the stopband edge of HReconstruction(ejωT) is located at the minimum frequency of such an aliasing

term and the passband edge at the edge of the frequency-band of interest, i.e., the passband and stopband edges of the H1(s) are given by Equation (3.27)

and (3.28) respectively.

Figure 3.8 Principle of reconstruction filtering

(3.27) Pe DAC 1 T1 --- P j( ω)2Ginterpolate e jωT 1    2R eNoiseshape e jωT 1     ωinput ωinput

+=Ginterpolate e jωT1    2R eNoiseshape e jωT1     R eDAC e jωT1     + + ω ωc

...

2π/T 2πL/Τ 0 ωs H1(jω) ωHpassbandReconstruction ω passband =

(49)

(3.28) It is important to specify the required maximum passband and minimum required stopband attenuation of the reconstruction filter. In the passband, too much attenuation degrades the signal power, which will degrade the signal-to-noise ratio. A very small variation results in a high filter order. The varia-tion can be compensated with pre-distorvaria-tion of the data by the interpolavaria-tion filter.

It is worth noting that the sizes of all aliasing components between the pass-band and stoppass-band edges can be controlled by the interpolation filter and, therefore, no attempt to remove it using the reconstruction filter should be made, since this would increase the required filter order quite rapidly. A cer-tain amount of attenuation of the aliasing components between the passband and stopband edges does occur by the reconstruction filter due to its attenua-tion in the transiattenua-tion region. From Figure 3.8, one can also note that the tran-sition bandwidth of the reconstruction filter, which is one of the main parameters that determines the required filter order, increases linearly with L. Increasing L thereby decreases the order of the analog filter.

Let us now try to derive the equations for the power spectral density of the noise,noise power and signal power in order to calculate SNR after recon-struction filtering.

The signal power can be given by

(3.29) The noise power is given by

ωstopbandHReconstruction 2πL T ---–ωpassband = Px Reconstruction e jωT1     A 2 Ginterpolate e jωT1     2P j( ω)2 2L2T12 ---…… = ……GReconstruction e jωT1     2 R eReconstruction e jωT1     1 T 1 --- G Interpolate e jωT1     2…… = …… RNoiseshaper e jωT1     ……+    

(50)

(3.30)

The noise power can be calculate by integrating the noise power spectral den-sity over [-π ,π]. Τhis is shown by the below mentioned equation

(3.31)

The SNR value can be calculated by using the equation given by Equation (3.1) by substituting the values of signal power and noise power given by Equation (3.28) and Equation (3.30).

GInterpolate e jωT1    2R eDAC e jωT1     2 + Pe Reconstruction e jωT 1     1 T1 --- Re Reconstruction e jωT 1     π – π

=

(51)

4

HIGH-LEVEL SIMULATION

This section discusses the high-level simulations of the above-mentioned System. The purpose of these simulations is to have to an estimate about the achievable performance and also about the design requirements of the sys-tem, before starting the actual CMOS design. These simulations are per-formed in MATLAB. The system is first simulated using Matlab using the system being derived in the previous chapters in order to determine the SNR of each subsystem.

We had used 5-bit and 8-bit 1st and 2nd order noise shapers for our

simula-tions.

The below depicted table gives the input SNR for respective number of bits.

Also one can see that there will be no change due to upsampling as it is being mathematically shown in previous chapters.

The interpolation filter.

Wx(# of bits) 10 11 12 13 14 16 18

(52)

The above tables gives the SNR after digital filtering followed by noise shap-ing, followed by analog filtering.The digital filter considered is an ideal filter where the noise generated by the filter is not considered.

Table 4.1. SNR of the system with NOB=10 with second-order 5-bit noise shaper.

OSR SNR digital filtering SNR noise shaping SNR analog filtering 2 61.9725 37.3261 37.7743 4 61.9817 51.2720 51.3409 8 61.9865 62.3709 61.0369 16 61.9865 62.4228 62.3374 32 61.9865 62.4228 62.3879 64 61.9865 62.4228 62.3890 128 61.9865 62.4228 62.4248 256 61.9865 62.4228 62.4248

Table 4.2. SNR of the system with NOB=10 with second-order 8-bit noise shaper.

OSR SNR digital filtering SNR noise shaping SNR analog filtering 2 61.9725 54.6146 55.0150 4 61.9817 61.6771 61.6939 8 61.9865 62.3976 62.4476 16 61.9865 62.4238 62.3903 32 61.9865 62.4245 62.3896 64 61.9865 62.4244 62.3891 128 61.9865 62.4246 62.4248 256 61.9865 62.4245 62.4246

References

Related documents

Från den teoretiska modellen vet vi att när det finns två budgivare på marknaden, och marknadsandelen för månadens vara ökar, så leder detta till lägre

40 Så kallad gold- plating, att gå längre än vad EU-lagstiftningen egentligen kräver, förkommer i viss utsträckning enligt underökningen Regelindikator som genomförts

The increasing availability of data and attention to services has increased the understanding of the contribution of services to innovation and productivity in

Regioner med en omfattande varuproduktion hade också en tydlig tendens att ha den starkaste nedgången i bruttoregionproduktionen (BRP) under krisåret 2009. De

Generella styrmedel kan ha varit mindre verksamma än man har trott De generella styrmedlen, till skillnad från de specifika styrmedlen, har kommit att användas i större

Parallellmarknader innebär dock inte en drivkraft för en grön omställning Ökad andel direktförsäljning räddar många lokala producenter och kan tyckas utgöra en drivkraft

Närmare 90 procent av de statliga medlen (intäkter och utgifter) för näringslivets klimatomställning går till generella styrmedel, det vill säga styrmedel som påverkar

I dag uppgår denna del av befolkningen till knappt 4 200 personer och år 2030 beräknas det finnas drygt 4 800 personer i Gällivare kommun som är 65 år eller äldre i