• No results found

Spin Torque Oscillator-based Integrated Solutions for Magnetic Field Sensing and Wireless Communication Applications

N/A
N/A
Protected

Academic year: 2022

Share "Spin Torque Oscillator-based Integrated Solutions for Magnetic Field Sensing and Wireless Communication Applications"

Copied!
3
0
0

Loading.... (view fulltext now)

Full text

(1)

http://www.diva-portal.org

Preprint

This is the submitted version of a paper presented at The 21st IEEE International Conference on Electronics, Circuits, and Systems (ICECS)7-10 Dec. 2014.

Citation for the original published paper:

Chen, T. (2014)

Spin Torque Oscillator-based Integrated Solutions for Magnetic Field Sensing and Wireless Communication Applications.

In: Electronics, Circuits and Systems (ICECS), 2014 21st IEEE International Conference on (pp.

W005-). IEEE conference proceedings

N.B. When citing this work, cite the original published paper.

Permanent link to this version:

http://urn.kb.se/resolve?urn=urn:nbn:se:kth:diva-157779

(2)

Spin Torque Oscillator-based Integrated Solutions for Magnetic Field Sensing and

Wireless Communication Applications

Tingsu Chen (supervisor: Ana Rusu)

School of Information and Communication Technology, KTH Royal Institute of Technology, 164 40 Kista, Sweden Email: tingsu@kth.se

Abstract—The emerging spin torque oscillator (STO) technology is a compelling microwave oscillator with an extremely wide frequency tunable range. However, due to the drawbacks of this early-stage technology, very limited progress has been achieved in employ- ing the STO in practical applications. This paper presents three suitable applications of the state-of-the- art STO, covering magnetic field sensing and wireless communcations, which can greatly benefit from the advantages of the STO. Furthermore, the possible and suitable STO-based integrated solutions for achieving these applications are introduced.

I. Background

The spin torque oscillator (STO) is a nanoscaled mi- crowave oscillator, utilizing a DC current through its mag- netized magnetic multi-layer structure so as to generate a sustained voltage oscillation [1]. The operating frequency of the STO can be widely tuned by the injected DC current, as well as the magnitude and angle of the applied magnetic field [1]. It also has low cost, low power com- sumption, high Q and fast turn-on time [1]. Furthermore, the STO can be fully integrated with CMOS circuits [1], allowing low-cost integrated solutions for practical applications. Nevertheless, the STO is still an immature technology, which has low output power and spectrum impurity [2]. Due to these drawbacks, only two STO-based systems, which employ the STO as microwave source [2]

and modulator using amplitude-shift keying (ASK) in a wireless transmitter [3], have been reported. To enable the use of the STO in a wider range of applications, it is of great importance to capitalize and utilize its advantages, while improving the STO and developing circuits targeting its suitable applications. Hence, the suitable applications for the STO technology should be explored. To further evaluate the impact of the STO on these applications, pos- sible STO-based integrated solutions for these applications should be examined.

II. Current Results

To allow practical use of the STO and to achieve integrated solutions, different methods of integating the STO and CMOS high frequency circuits have been inves- tigated. The integration method based on the low-cost wire bonding is suitable as a first integration attempt

of STO and CMOS technologies, hence it has been em- ployed and evaluated. The evaluation demonstrates that this integration method can be applied to the STO-based applications up to Ku-Band. Monolithic and flip-chip- based solutions, which offer higher level of integration and better performance at high frequencies, are the ultimate solutions in the future.

A. STO-based microwave source

The features of STO make it a promising candidate for the low-cost highly tunable microwave source [2]. To enable the use of STO as a microwave source, its two drawbacks as mentioned before, should be alleviated so that it can be used in a wireless receiver system as shown in Fig. 1(a). One possible solution to the spectrum impurity is to lock the frequency and phase of an STO in a phase- locked loop (PLL) system, as illustrated in Fig. 1(b). To make the PLL system functional, the output power of the STO should be enhanced so that it can drive the PLL. Different power enhancement techniques have been investigated, indicating that the only possible approach at present is to use a dedicated amplifier targeting the STO technology. Accordingly, a dedicated wideband amplifier has been proposed, implemented, and evaluated [4]. Dur- ing the amplifier design phase, important factors including the required power transfer, gain, bandwidth, noise and linearity have been considered. Performance measurement results of the (STO+amplifier IC) pair demonstrate that the (STO+amplifier IC) pair has the potential to be used as a microwave oscillator in multi-band, multi-standard radios.

B. STO-based magnetic field sensing

The operating frequency of the STO is an approximately

linear function of the applied magnetic field. According to

this property, the STO can be used to sense the magnetic

field by measuring its oscillation frequency change. This

application is attractive because it avoids measuring the

signal amplitudes of traditional sensors, which require a

system with large signal-to-noise ratio in order to accu-

rately sense the magnetic field. In addition, this STO-

based magnetic sensor has a very high sensitivity up to

180 GHz/T [5]. To realize this STO-based field sensing

(3)

application, a complete field sensing system based on the STO technology has been proposed in [6], and depicted in Fig. 2. For building this system, a dedicated balun- LNA, which amplifies the output signals to a level that is required by the following frequency-to-voltage converter, has been implemented and evaluated [6]. The measured performance metrics of the proposed balun-LNA indicate that it is highly suitable for the STO-based field sensing application.

C. STO-based non-coherent IR-UWB transceiver

As reported in [7], a spin-torque diode effect has been discovered in STOs. This spin-torque diode effect can be explained as: a small microwave AC current, whose frequency is resonant with the operating frequency of an STO, is injected into the STO, resulting in a detectable DC voltage across the STO device. This spin-torque diode effect allows STO to be used as a noise-tolerant frequency detector since the DC voltage can only be detected when the frequency of the AC signal is resonant with the oscillation frequency of the STO. This interesting diode effect found in STOs, combined with their wide tunability, fast turn-on time and low power consumption, make STOs greatly suitable to be used in a non-coherent impulse-radio (IR) - ultrawideband (UWB) transceiver, as illustrated in Fig. 3. For the transmitter (TX), two different currents I

0

and I

1

can be used to represent the binary data “0”

and “1”, and hence can be alternatively injected into a single STO. The STO can quickly respond to the different injected currents as altering between different frequencies or switching between on and off states, based on the em- ployed modulation technique. Possible modulation tech- niques are frequency-shift keying (FSK) and ASK (Fig. 3).

For the receiver (RX), the STO can be directly employed as a demodulator thanks to its diode effect, enabling an efficient conversion of the received microwave signals to high and low output voltages. These high and low output voltages can be simply used to distinguish between binary data “0” and “1”. This STO-based non-coherent IR-UWB transceiver can fully utilize the advantages of STOs while being immune to the spectrum impurity of the STO.

Acknowledgment

This work was supported by the Swedish Research Council (VR).

References

[1] S. E. Russek, W. H. Rippard, T. Cecil, R. Heindl, “Spin-transfer nano-oscillator,” Handbook of Nanophysics, CRC Press, 2010.

[2] P. Villard, U. Ebels, D. Houssameddine, J. Katine, D. Mauri, B. Delaet, P. Vincent, M. C. Cyrille, B. Viala, J. P. Michel, J.

Prouvée, and F. Badets, “A GHz spintronic-based RF oscillator,”

IEEE J. Solid-State Circuits, vol. 45, no. 1, pp. 214–223, 2010.

[3] H. S. Choi, S. Y. Kang, S. J. Cho, I.-Y. Oh, M. Shin, H. Park, C. Jang, B.-C. Min, S.-I. Kim, S.-Y. Park and C. S. Park,

“Spin nano-oscillator-based wireless communication”, Scientific Reports, vol. 4, no. 5486, pp. 1–7, 2014.

Filter Antenna

Mixer LNA

STO

Filter Antenna

Mixer LNA

V/I Amplifier

CP/LPF PFD

Freq.

Divider REFin

Bias-T STO

(a)

(b)

Bias-T

Figure 1: STO-based receiver (a). STO as an LO (b). STO as a part of a PLL

Figure 2: STO-based sensing system [6]

STO PA

STO

1 0 1 0 ASK FSK

LNA e.g.

I0= 3 mA → 0 (FSK) Or I0= 0 mA → 0 (ASK)

I1= 1 mA → 1

I1= 1 mA → 1

Antenna

1 0 1 0

ASK FSK

Figure 3: STO-based non-coherent IR-UWB transceiver

[4] T. Chen, P. Dürrenfeld, S. Rodriguez, J. Åkerman, and A. Rusu,

“A highly tunable microwave oscillator based on MTJ STO technology,” Microw. Opt. Technol. Lett., vol. 56, no. 9, pp. 2092–

2095, 2014.

[5] P. M. Braganca, B. A. Gurney, B. A. Wilson, J. A. Katine, S.

Maat, and J. R. Childress, “Nanoscale magnetic field detection using a spin torque oscillator,” Nanotechnology, vol. 21, no. 23, p. 235202, 2010.

[6] T. Chen, S. Rodriguez, J. Åkerman, and A. Rusu, “An Induc- torless Wideband Balun-LNA for Spin Torque Oscillator-based Field Sensing”, in Proc. of IEEE ICECS, 2014.

[7] A. A. Tulapurkar, Y. Suzuki, A. Fukushima, H. Kubota, H.

Maehara, K. Tsunekawa, D. D. Djayaprawira, N. Watanabe, and S. Yuasa, “Spin-torque diode effect in magnetic tunnel junctions,”

Nature, vol. 438, no. 7066, pp. 339–342, 2005.

References

Related documents

Number of bits per symbol Bit error rate Branch envelope correlation coefficient Channel capacity Measurement capacity Spatial distance Energy per unit per bit, for instance Total

[r]

The dedicated wideband amplifier, containing a novel Balun-LNA, an amplification stage and an output buffer, is proposed, analyzed, implemented, measured and used to achieve the

We have shown that the helical edge states of a 2D TI can be utilized to construct a solid-state SG spin splitter that when threaded by a magnetic flux gives rise to a

An application of particular interest to the research community as well as vehicle manufacturers right now is platooning. Due to fuel saving and transport efficiency

Single-layer NC-STOs: The multilayered spin-valve structure in NC-STOs may be replaced by a material stack with a single FM layer, reducing the number of

nanocontact STOs (NCSTOs), spin Hall nano-oscillators (SHNOs), and hybrid magnetic tunnel junctions (MTJs).. Synchronization has been considered as a primary vehicle to increase

Keywords: Spintronics, driven synchronization, mutual synchronization, spin transfer torque, spin torque oscillator, spin Hall oscillator, magnetic tunnel junctions,