• No results found

CMOS High Frequency Circuits for Spin Torque Oscillator Technology

N/A
N/A
Protected

Academic year: 2022

Share "CMOS High Frequency Circuits for Spin Torque Oscillator Technology"

Copied!
98
0
0

Loading.... (view fulltext now)

Full text

(1)

CMOS High Frequency Circuits for Spin Torque Oscillator Technology

TINGSU CHEN

Licentiate Thesis

School of Information and Communication Technology KTH Royal Institute of Technology

Stockholm, Sweden 2014

(2)

TRITA-ICT/MAP AVH Report 2014:01 ISSN 1653-7610

ISRN KTH/ICT-MAP/AVH-2014:01-SE ISBN 978-91-7501-972-7

KTH School of Information and Communication Technology SE-164 40 Kista SWEDEN Akademisk avhandling som med tillstånd av Kungl Tekniska högskolan framlägges till offentlig granskning för avläggande av teknologie licentiatexamen i Mikro- och nanoelektronik torsdagen den 30 jan 2014 klockan 13.00 i Sal E, Forum, Kungl Tekniska högskolan, Isafjordsgatan 39, Kista.

© Tingsu Chen, Jan 2014

Tryck: Universitetsservice US AB

(3)

iii

Abstract

Spin torque oscillator (STO) technology has a unique blend of features, including but not limited to octave tunability, GHz operating frequency, and nanoscaled size, which makes it highly suitable for microwave and radar appli- cations. This thesis studies the fundamentals of STOs, utilizes the state-of-art STO’s advantages, and proposes two STO-based microwave systems targeting its microwave applications and measurement setup, respectively.

First, based on an investigation of possible STO applications, the mag- netic tunnel junction (MTJ) STO shows a great suitability for microwave oscillator in multi-standard multi-band radios. Yet, it also imposes a large challenge due to its low output power, which limits it from being used as a microwave oscillator. In this regard, different power enhancement approaches are investigated to achieve an MTJ STO-based microwave oscillator. The only possible approach is to use a dedicated CMOS wideband amplifier to boost the output power of the MTJ STO. The dedicated wideband amplifier, containing a novel Balun-LNA, an amplification stage and an output buffer, is proposed, analyzed, implemented, measured and used to achieve the MTJ STO-based microwave oscillator. The proposed amplifier core consumes 25.44 mW from a 1.2 V power supply and occupies an area of 0.16 mm 2 in a 65 nm CMOS process. The measurement results show a S21 of 35 dB, maximum NF of 5 dB, bandwidth of 2 GHz - 7 GHz. This performance, as well as the measurement results of the proposed MTJ STO-based microwave oscillator, show that this microwave oscillator has a highly-tunable range and is able to drive a PLL.

The second aspect of this thesis, firstly identifies the major difficulties in measuring the giant magnetoresistance (GMR) STO, and hence studying its dynamic properties. Thereafter, the system architecture of a reliable GMR STO measurement setup, which integrates the GMR STO with a dedicated CMOS high frequency IC to overcome these difficulties in precise characteriza- tion of GMR STOs, is proposed. An analysis of integration methods is given and the integration method based on wire bonding is evaluated and employed, as a first integration attempt of STO and CMOS technologies. Moreover, a dedicated high frequency CMOS IC, which is composed of a dedicated on-chip bias-tee, ESD diodes, input and output networks, and an amplification stage for amplifying the weak signal generated by the GMR STO, is proposed, an- alyzed, developed, implemented and measured. The proposed dedicated high frequency circuits for GMR STO consumes 14.3 mW from a 1.2 V power sup- ply and takes a total area of 0.329 mm 2 in a 65 nm CMOS process. The proposed on-chip bias-tee presents a maximum measured S12 of -20 dB and a current handling of about 25 mA. Additionally, the proposed dedicated IC gives a measured gain of 13 dB with a bandwidth of 12.5 GHz - 14.5 GHz.

The first attempt to measure the (GMR STO+IC) pair presents no RF signal at the output. The possible cause and other identified issues are given.

Keywords: microwave integrated circuit, CMOS, spin torque oscillator,

Balun-LNA, wideband amplifier, on-chip bias-tee, multi-standard multi-band

radios.

(4)

iv

(5)

v

Acknowledgements

My deepest and heartfelt gratitude goes to my supervisor, Prof. Ana Rusu, who has had the most influence on my ability to do research and to “survive”. Firstly, I appreciate her for providing me the chance to work here as a PhD student under her supervision, bringing me to my favorite field of microwave integrated circuits, and introducing me to Willy Sansen (an exciting moment). I am also deeply grate- ful for her excellent guidance, continuous support, enormous encouragement and important advices (and more!) through all the phases of my research. In addition, I am indebted to her for her patience, effort and hundreds of time proofreading my manuscripts. Her creativity, stringent academic attitude, and profound knowledge make her an excellent example for me to follow and will have a significant impact on my future career.

I deeply appreciate my co-supervisor, Dr. Saul Rodriguez. I would like to thank him for all his insightful discussion, valuable advices, and un-ending support. I appreciate him a lot for sharing with me his knowledge and broadening my mind.

This work cannot be completed without his help.

Prof. Johan Åkerman, my other co-supervisor, is warmly thanked for his kind scientific support, valuable discussion and providing me the chance to finish my measurement at Göteborgs University.

I gratefully acknowledge Swedish Research Council (VR) for funding my re- search.

I am thankful to all the current and past colleagues in our Electronic Circuits for Integrated Systems group. Sha Tao and Janko Katic are thanked for their support, encouragement, constructive discussion and all the wonderful moments they shared.

I would like to appreciate Dr. Julian Garcia and Vasileios Manolopoulos for their help and support.

A huge thank goes to my officemate and friend, Anders Eklund. Thanks for all daily discussion related to STO, although it took me quite long time to understand those physical phenomena. I also want to thank him for his help during the mea- surement and all the time we spent together in the Spincave. Also, tack för bra konserter.

I would also like to thank Prof. Urban Westergren for all the advices related to microwave issues and being the internal reviewer of this thesis. Measurement assistance provide by Prof. Gunnar Malm was greatly appreciated. I am grateful to Prof. Eduard Alarcon for the interesting discussion on circuit design.

I would like to express my appreciation to Prof. Jerzy Dabrowski for the valuable discussion during the SSOCC conference, and accepting the role as an opponent at my defense.

I would like to send my warm appreciation to all the persons who help me during

the measurement. Dr. Fredrik Magnusson, thanks for providing useful suggestion,

necessary components and cables. Dr. Sohrab Sani and Philipp Dürrenfeld are

thanked for their help and effort. I would also like to thank Fatjon Qejvanaj for

dicing the STO samples and the great coffee.

(6)

vi

My appreciation also goes to various people for sharing their helping hands;

Dr. Håkan Bengtsson, Dr. Jonas Fritzin and Adam Malmcrona from Ericsson AB for their valuable discussion and design review; Dr. Martin Gustafsson from Texas Instruments, for his help providing the PLL samples and valuable comments during the design.

Gracious appreciation also goes to Prof. Mikael Östling, and Prof. Carl-Mikael Zetterling (Bellman), whose brilliant management makes my daily work enjoyable at EKT. Prof Anders Hallén is appreciated for his help with study-related issues.

In addition, Gunilla Gabrielsson is thanked for her kindness and help.

I would like to extend my acknowledgement to all my friends in Electrum: Ye Tian, Jiantong Li, Shi Cheng, Jinghua Xia, Ganesh Jayakumar, Raheleh Hedayati, Saleh Kargarrazi, Maziar Naiini, Markus Soldemo, Xi Chen, Yiting Chen, Yu Xiang, Xinggang Yu, Maryam Olyaei, Yi Feng, Fan Pan, Miao Zhang, Sunjae Chung, Quang Tuan Le and Amir Hossein. I am also thankful to all my other friends all around the world whose friendship supported and encouraged me during these years.

A sincere thank goes to Jie Gao for her support and encouragement all the time. I would also like to express my appreciation to Kerstin Twardy and Mengxue Reutelingsperger for being such good friends for 12 years. My special thanks go to Anderson Smith for taking my clouds away and brightening my days.

Ultimately, I would like to acknowledge the people who are the most important to me, my parents, grandparents, uncles and aunts. Mom and dad, you have been a source of constant support to complete this work. Thank you for standing behind me with your love and support all the time.

Tingsu Chen,

Stockholm, Noverber 2013

(7)

vii

Abbreviations and Acronyms

AC Alternating current

ASK Amplitude-shift keying

BEOL Back-end-of-line

CCO Current-controlled oscillator

CG Common gate

CMOS Complementary metal-oxide semiconductor

CoB Chip-on-board

CS Common source

DC Direct current

ESD Electrical static discharge FF corner Fast-fast corner

FL Free layer

FSK Frequency-shift keying

GMR Giant magnetoresistance

GSG Ground-signal-ground

IC Integrated circuit

IIP3 Third-order input intercept point ILD Inter-layer dielectric

ILRO Injection-locked ring oscillator

IR-UWB Impulse radio-UWB

LA Limiting amplifier

LLG Landau-Lifshitz-Gilbert

LNA Low noise amplifier

LO Local oscillator

MEMS Microelectromechanical Systems

MIM Metal-insulator-metal

MMIC Monolithic microwave integrated circuit

MR Magnetoresistance

MTJ Magnetic tunnel junction

(8)

viii

NF Noise figure

NM Non-magnetic

NMOS N-Mental-Oxide-Semiconductor

PA Power amplifier

PCB Printed circuit board

PL Polarized layer

PLL Phase-locked loop

PSRR Power supply rejection ratio

Q Quality factor

RF Radio frequency

RMS Root mean square

RX Receiver

SMA SubMiniature version A

SNR Signal-to-noise ratio S-parameters Scattering-parameters

SRAM Static random-access memory SRF Self-resonant frequency SS corner Slow-slow corner STO Spin torque oscillator

STT Spin transfer torque

STT-MRAM Spin transfer magnetoresistive random-access memory

SV Spin valve

TMR Tunnel magnetoresistance

TSV Through-silicon via

TX Transmitter

UWB Ultra-wideband

VCO Voltage-controlled oscillator

VDD Power supply voltage

VG Voltage gain

VSWR Voltage standing wave ratio

(9)

Contents

Contents ix

List of Figures xi

List of Tables xiii

1 Introduction 1

1.1 Background on microwaves . . . . 1

1.2 Spin Torque Oscillator . . . . 3

1.3 Motivation . . . . 4

1.4 Objectives . . . . 6

1.5 Thesis Outline . . . . 7

1.6 Contributions and Publications . . . . 8

1.6.1 Publications . . . . 9

2 Spin Torque Oscillators Overview 11 2.1 Background on STO . . . . 11

2.1.1 Spin Transfer Torque . . . . 11

2.1.2 Magnetoresistance . . . . 12

2.2 Classification . . . . 14

2.3 State-of-the-art MTJ STOs . . . . 15

2.4 State-of-the-art GMR STOs . . . . 17

2.5 Summary . . . . 19

3 STO-based System Architectures 21 3.1 STO applications . . . . 21

3.1.1 Current-controlled modulator . . . . 22

3.1.2 Microwave frequency detector . . . . 22

3.1.3 Magnetic field sensor . . . . 23

3.1.4 Microwave oscillator . . . . 24

3.2 MTJ STO-based microwave oscillator architecture . . . . 24

3.2.1 Impedance matching . . . . 25

3.2.2 Bandwidth and gain . . . . 25

ix

(10)

x CONTENTS

3.2.3 Linearity . . . . 26

3.2.4 Noise . . . . 26

3.2.5 System architecture of the MTJ STO-based microwave oscil- lator . . . . 27

3.3 System architecture for GMR STO measurement setup . . . . 27

3.3.1 Issues in current measurement setup of GMR STO . . . . 27

3.3.2 Circuit requirements for GMR STO measurement setup . . . 29

3.3.3 System architecture of GMR STO measurement setup . . . . 34

4 CMOS Wideband Amplifier for MTJ STO 35 4.1 Balun-LNA . . . . 35

4.1.1 Theoretical Design-Oriented Analysis . . . . 36

4.1.2 Simulation results of the proposed Balun-LNA . . . . 40

4.1.3 Stand-alone Balun-LNA . . . . 41

4.2 Wideband Amplifier . . . . 41

4.3 Measurement Results and Discussion . . . . 45

4.3.1 Stand-alone Balun-LNA . . . . 45

4.3.2 Wideband amplifier . . . . 48

4.3.3 MTJ STO-based microwave oscillator . . . . 51

5 CMOS Circuits for GMR STO Measurement Setup 55 5.1 On-chip bias-tee . . . . 55

5.2 On-chip ESD protection . . . . 57

5.3 Input and Output Networks . . . . 58

5.4 Amplification Stage . . . . 59

5.5 Post-layout Simulation Results . . . . 62

5.6 Measurement Results . . . . 63

5.6.1 Dedicated high frequency IC . . . . 63

5.6.2 GMR STO . . . . 66

5.6.3 GMR STO measurement setup . . . . 67

5.7 Discussion . . . . 69

5.7.1 Process variation of STOs . . . . 69

5.7.2 Pad structure . . . . 69

5.7.3 Wire bonding . . . . 71

5.7.4 Measurement setup stability . . . . 72

5.7.5 Bias-tee reliability . . . . 72

6 Conclusions and Future Work 75

Bibliography 79

(11)

List of Figures

1.1 Illustration of the status and trends in microwave technology. (a) Multi- tasking works on a cell phone using microwave technology (b). World-

wide microwave equipment market revenue and forecast . . . . 2

1.2 MTJ STO-based receiver (a). MTJ STO as an LO (b). STO as a part of a PLL . . . . 5

1.3 IC design flow . . . . 7

2.1 A typical STO structure . . . . 12

2.2 Magnetoresistance effect (a). parallel state; (b). anti-parallel state . . . 13

2.3 Measured MR in MTJ STO . . . . 14

2.4 Layouts of (a).pads for MTJ STO and (b).coplanar waveguide for GMR STO . . . . 15

2.5 Operation frequency of MTJ STO as a function of the applied magnetic field . . . . 16

2.6 Operation frequency of MTJ STO as a function of the injected DC current 16 2.7 Measured MR in GMR STO . . . . 18

2.8 Operation frequency of GMR STO as a function of the DC current and the magnetic field strength with a fixed magnetic field angle of 85 o (a). H = 0.7 T (b). H = 0.8 T (c). H = 0.9 T (d). H = 1 T . . . . 19

3.1 STO-based non-coherent IR-UWB transceiver . . . . 23

3.2 Power transfer from MTJ STO to amplifier . . . . 26

3.3 System architecture . . . . 27

3.4 Current GMR STO measurement setup . . . . 28

3.5 Possible integration methods between STO and CMOS IC . . . . 30

3.6 Bond wire (a). the lump model (b). the simulated microwave performance 33 3.7 System architecture . . . . 34

4.1 Proposed Balun-LNA with capacitive cross-coupling technique . . . . . 36

4.2 Small signal model of cascoded CS stage . . . . 37

4.3 Relationship between g m1 , R 1 (gain) and noise . . . . 39

4.4 (a). NF as a function of R S ; (b). Input reflection coefficient (Γ in ) as a function of time . . . . 40

xi

(12)

xii List of Figures

4.5 Simulation results of the proposed LNA (a).Voltage gain and input re- turn loss (b).Noise Figure (c).Linearity (d).Monte Carlo simulation re-

sults for process variation . . . . 42

4.6 Schematic of the stand-alone Balun-LNA with output buffer . . . . 43

4.7 Block diagram and schematics of the proposed wideband amplifier . . . 43

4.8 Chip photo of the stand-alone Balun-LNA . . . . 45

4.9 Test board for the stand-alone Balun-LNA . . . . 46

4.10 Post-layout simulated and meausred S21 and S11, simulated and de- embedded VG of the Balun-LNA core . . . . 46

4.11 Post-layout simulated, measured and de-embedded NF of the buffered Balun-LNA . . . . 47

4.12 Die photo of the proposed wideband amplifier IC . . . . 49

4.13 Test board for the wideband amplifier IC . . . . 49

4.14 Measurement results of the proposed wideband amplifier (a). Measured S11, S22 (b). Measured S21 and De-embedded NF . . . . 50

4.15 Measurement setup of the MTJ STO-based microwave oscillator . . . . 51

4.16 Measurement results of the MTJ STO-based microwave oscillator . . . . 52

5.1 On-chip Bias-tee . . . . 56

5.2 Z AC and Z OX as a function of C block . . . . 57

5.3 On-chip ESD protection . . . . 58

5.4 Input network . . . . 59

5.5 Output network . . . . 60

5.6 Amplification stage . . . . 60

5.7 Z load as a function of L ln . . . . 62

5.8 (a). Die photo of the proposed high frequency IC (b). Test board for GMR STO measurement setup . . . . 63

5.9 Measured S12 of the on-chip bias-tee . . . . 64

5.10 Measurement results of the proposed high frequency CMOS IC for GMR STO: (a). Voltage gain (b). Input referred noise (c). IIP3 . . . . 65

5.11 Integration of GMR STO and dedicated high frequency IC . . . . 67

5.12 Measurement setup of the (GMR STO+IC) pair . . . . 68

5.13 Pad structures of (a). GMR STO and (b). the dedicated IC . . . . 70

5.14 Issue of wire bonding . . . . 71

5.15 Resistance increase as a function of time (I DC = 25 mA) . . . . 73

(13)

List of Tables

3.1 Performance metrics of MTJ STO . . . . 25

3.2 GMR STO (70 nm) Summary . . . . 29

3.3 Comparison between different integration methods . . . . 32

4.1 Comparison with previous Balun-LNA designs . . . . 48

4.2 Performance comparison: MTJ STO+amplifier IC pair . . . . 53

5.1 GMR STO characterization . . . . 66

xiii

(14)
(15)

Chapter 1

Introduction

1.1 Background on microwaves

Microwave technology has had a dramatic impact on wireless high-speed commu- nications. Microwaves are electromagnetic waves whose frequencies f are usually defined from 300 MHz to 300 GHz [1], and their corresponding wavelengths λ are between 1m to 1mm according to:

λ = c

f (1.1)

where c is the speed of the light. As the wavelengths of microwaves are rela- tively short, they are named as “micro”waves. Based on Shannon’s Theorem, the large bandwidth that can be obtained at microwave frequencies, offers a very large channel capacity, enabling high-speed communications. In addition, microwave fre- quencies allow high directivity and small antenna sizes [2], which enables compact design of microwave devices. Because of these advantages, microwaves were firstly employed in the military, for instance, radar communications, astronomy, aerospace products, etc. Nowadays, microwave technology is further integrated into our daily lives. It enables us to simply use smart microwave devices to instantly communicate with other people or devices all around the world. For instance, people can use cell phones not only for making and receiving global calls, but also for sharing data or controlling other devices in different ranges, as illustrated in Figure 1.1(a). More- over, the large bandwidth enables us to communicate with several other devices simultaneously, which forms a multi-task communication system. This multi-task system is based on building microwave links between microwave devices by access- ing different networks, such as WiFi, bluetooth, cellular and satellite networks and using different bands and standards. In this multi-task communication system, a data rate of over 1 Gb/s can be currently achieved [3].

In addition to wireless high-speed communications, microwave technology presents a variety of applications, such as remote sensing and medical treatment. This wide application prospect of the microwave technology has stabilized its important posi-

1

(16)

2 CHAPTER 1. INTRODUCTION

(a)

(b)

0 1 2 3 4 5 6

2008 2009 2010 2011 2012 2017

R e v e n u e i n $ U S B il li o n s

Worldwide Microwave Equipment Revenue and Forecast

Figure 1.1: Illustration of the status and trends in microwave technology. (a)

Multi-tasking works on a cell phone using microwave technology (b). Worldwide

microwave equipment market revenue and forecast

(17)

1.2. SPIN TORQUE OSCILLATOR 3

tion in the modern society. Over the last few years, there was a long-term demand of the microwave technology, which is reflected by the microwave equipment mar- ket given in Figure 1.1(b). By 2017, the latest forecast of Infonetics [4] shows that the microwave equipment market will remain stable. This steady global mi- crowave equipment market continuously pushes the microwave industry to deliver high performance, low-cost and small-size components, which are appropriate for multi-band or multi-standard systems. Among these microwave components, the microwave oscillator is a key component. It is essential in all communications, test or measurement systems, providing critical clocking or generating the carrier or local oscillator (LO) signal [5] for the purpose of converting a signal frequency up and down. In order to satisfy modern applications, a microwave oscillator should be widely tuned to cover a multi-frequency range. At the same time, the desired microwave oscillator should be easily integrated with CMOS technology, which is still the mainstream integrated circuit (IC) technology. Furthermore, high opera- tion frequency, high quality-factor, high stability, low cost, low power consumption and miniature size are also requirements of modern microwave oscillators. How- ever, the typical off-chip quartz crystal oscillator is no longer suitable in modern communication systems due to its large dimension, high power consumption, low integration level, and low quality factor (Q) at high frequencies. CMOS fully inte- grated LC voltage-controlled oscillator (VCO) [6] is widely used for its low phase noise and low power consumption. However, the integrated oscillators have very poor quality factor, and their tunability is not sufficient for microwave applications.

The monolithic microwave integrated circuit (MMIC) based oscillator is becoming more and more popular since it offers wide tunability and low phase noise. Never- theless, MMICs consume high power with low efficiency, occupy a large silicon area and are expensive. Accordingly, there is an urgent need of low cost and low power microwave oscillators with high operating frequency and large tunability to cover wide bandwidths.

1.2 Spin Torque Oscillator

Spin torque oscillator (STO) is attracting widespread interest due to its potential use as a microwave oscillator. The STO operation is based on two spintronic effects:

spin transfer torque (STT) and magnetoresistance (MR). The STT effect generates a precession of the magnetization in STOs, conducting the oscillation of either tunneling magnetoresistance (TMR) or giant magnetoresistance (GMR). An STO with TMR is called magnetic tunnel junction (MTJ) STO and an STO with GMR is named spin valve (SV) STO (or so called GMR STO) [7]. Compared to GMR STOs, MTJ STOs offer a larger output power thanks to larger MR at the expense of somewhat lower operation frequencies. Unfortunately, MTJ STOs have wider linewidth than GMR STOS, hence worse spectrum purity.

STO is a tunable nanoscale microwave integrated current-controlled oscillator

(CCO), which offers extremely wide tunability. The operation frequency of STO

(18)

4 CHAPTER 1. INTRODUCTION

can be tuned by either the DC current injected into the device, or the magnetic field applied to the device. For instance, a single MTJ STO can be used as an LO covering 3 GHz - 9 GHz bandwidth (|H| < 1000 Oe) in ultra-wideband (UWB) applications [8]. Obviously, its frequency tunability range of ±50% exceeds that of LC based oscillators which typically show tunability ranges of around ±10%. The operation frequency of a single GMR STO can be tuned by the DC biasing current between 10 GHz - 21 GHz with a tunability range of ±35.5% with a fixed magnetic field of H = 1 T and a magnetic angle of 80 . Additional frequency tunability can be achieved by changing the strength or angle of the applied magnetic field. The operation frequency of GMR STOs has been experimentally observed up to 46 GHz and extrapolated to 65 GHz. Besides the wide tunability, STO also has miniature size, high operation frequency, high integration level with CMOS technology, low cost, low power consumption, and high Q (up to 18000), which make it a promising microwave oscillator [9]. However, the immature STO technology has currently two drawbacks which prevent them from being directly used as LOs. These drawbacks are: low output power and spectrum impurity [9]. The maximum output power that can be obtained from a MTJ STO is in the order of microwatts, and is even less for a GMR STO. The spectrum impurity of STO occurs in terms of substantial frequency fluctuations, and is not acceptable for most LO applications.

1.3 Motivation

While the STO is a promising technology for low-cost fully integrated microwave oscillator, its low output power and spectrum impurity are, as described before, two current drawbacks. The aim of this work is to alleviate these drawbacks such that the STO technology can be used in real wireless communication systems. To prove that concept, the target of this work is to use the STO in a receiver, as shown in Figure 1.2(a). However, this system is applicable only when the STO is oscillating without frequency fluctuations. One possible solution to this current frequency fluctuation issue is to lock the frequency and phase of a STO in a Phase-locked loop (PLL) system, as it can be seen in Figure 1.2(b). To make the PLL system functional, the output power of the STO should be larger than -10 dBm. The MTJ STO can currently provide a much larger output power than the GMR STO, which makes it more suitable for being used as an LO. A typical state-of-the-art MTJ STO produces an output power of approximately 0.5 µW within a tunable operation frequency range between 4 GHz - 7 GHz [10], which restricts their direct use as LOs. Consequently, it is of great importance to enhance the output power of MTJ STOs for future STO-based applications.

One possible approach to improve the output power of MTJ STOs is using

injection locking. It has been experimentally verified that MTJ STOs can be locked

to an external RF current with a frequency f e , which is close to twice the free-

running frequency f 0 [7]. By using an external RF current with 2.1 mA RMS

amplitude, the output power of the MTJ STO is enhanced by 7 dB while the

(19)

1.3. MOTIVATION 5

Filter Antenna

Mixer LNA

STO

Filter Antenna

Mixer LNA

V/I

Amplifier CP/LPF

PFD

Freq.

Divider REFin

Bias-T STO

(a)

(b)

Bias-T

Figure 1.2: MTJ STO-based receiver (a). MTJ STO as an LO (b). STO as a part of a PLL

phase is locked during injection locking. Nevertheless, this approach cannot be implemented in real applications since an external RF current is needed, and the 7 dB power enhancement is not sufficient for applications.

An alternative approach is to use an external amplifier IC to enhance the output power of MTJ STOs and prove their potential use as microwave oscillators [11]. As shown in Figure 1.2(b), a wideband amplifier, covering the operation frequency range of MTJ STO with noise, linearity and matching optimization, is required to amplify the output signal of MTJ STO and make it usable in the receiver system.

This alternative is more suitable for the current STO technology since it can offer the required gain and facilitate the future connection to other CMOS circuits such as PLL or mixer.

Recently, GMR STOs received a lot of attention thanks to their larger tunability

range and higher operation frequencies. Numerous studies of GMR STOs have been

done to explore the phase noise and linewidth, to increase the output power, and to

remove the large applied magnetic field. However, the existing measurement setup

for GMR STO is usually built by commercial discrete components, which is not

optimal in terms of power and noise. For instance, the cables and connection loss

(20)

6 CHAPTER 1. INTRODUCTION

between STO and bias-tee, bias-tee and amplifier, amplifier and spectrum analyzer at such high frequency is significant. In order to minimize the unwanted signal loss in the measurement setup, the GMR STO needs to be integrated with the CMOS IC to avoid losses from printed circuit board (PCB), external bias-tee and packaging. As a result, an integrated solution of GMR STOs and their dedicated high frequency CMOS circuits, including a wideband on-chip bias-tee and low-noise amplification, are urgently required.

As detailed in the following section, proposing high frequency IC solutions for STO technology, in order to make MTJ STOs usable in applications, and improve the measurement setup for GMR STOs for future STO studies, have been the main drivers for this work.

1.4 Objectives

The main focus of this thesis is investigating high frequency IC solutions for STO technology. As discussed in Section 1.3, two STO-based systems are proposed in this work, considering the different properties of the MTJ STO and the GMR STO.

Firstly, the exploration of wideband amplifiers, which enable the use of a sin- gle, highly tunable MTJ STO as an LO in a multi-band, multi-standard receiver system, is considered. The objective is to propose a wideband amplifier solution to compensate the low output power of the MTJ STO. To achieve this objective, the circuit requirements are identified, based on which a wideband low-noise amplifier is proposed, developed and implemented.

Secondly, the integration of CMOS ICs and GMR STOs, which is particularly important for improving the measurement setup and for further studies of STO technology, is proposed. Accordingly, the objective is to propose a solution for GMR STO fully integrated with a dedicated CMOS high-frequency IC. To achieve this objective, the following sub-objectives are identified. Firstly, possible integra- tion methods are investigated and the most suitable integration method for the current STO technology is chosen. Second sub-objective is to identify the circuit requirements for improving the measurement setup for GMR STOs, based on the state-of-the-art GMR STOs and the proposed integration method. Thirdly, the complete IC solution, including the on-chip bias-tee, is implemented to achieve fully integration and enhance the low output power of GMR STOs.

The top-down ASIC design flow, illustrated in Figure 1.3, is used to implement

the IC solutions for STO technology. It can be seen in Figure 1.3 that different

circuit requirements for MTJ and GMR STOs are identified based on separate

investigations of these two types of STOs and integration methods. According

to the identified circuit requirements, ICs are designed by following theoretical

studies, simulations, anaylses and implementations. Finally, in order to evaluate

the designed ICs and prove the concepts given in Section 1.3, high-frequency test-

boards for the IC and STO+IC measurements are developed.

(21)

1.5. THESIS OUTLINE 7

ASIC Design Flow STO

Technology

MTJ GMR

MTJ STO performances

System/Architecture Design

Circuit Design

Floor Plan, Layout and Verifications

System Verification

Fabrication and Measurement GMR STO

performances

Inter- connection

System Measurement

Figure 1.3: IC design flow

1.5 Thesis Outline

The thesis is organized in six chapters, by following the design flow mentioned in the previous section.

• Chapter 1 describes the motivation, objectives, outline and contributions of this work.

• Chapter 2 presents the background of this work, including the STO operat- ing principle, classification and state-of-the-art performance. By investigating the state-of-the-art STOs, this chapter identifies the superiority of STOs as well as their main issues.

• Chapter 3 investigates possible microwave applications of STO technology.

The most suitable application is the MTJ STO-based microwave oscillator. Be- sides, in order to build a reliable measurement setup for GMR STOs and hence enable further STO studies, the issues of the existing measurement setup were iden- tified. To achieve the proposed MTJ STO-based microwave oscillator and a reliable GMR STO measurement setup, this chapter further identifies the requirements of the dedicated CMOS ICs and proposes the architectures for these two STO-based microwave systems. This chapter provides the circuit design fundamentals for the following two chapters.

• Chapter 4 presents the proposed wideband amplifier targeting MTJ STOs.

(22)

8 CHAPTER 1. INTRODUCTION

The wideband amplifier design consists of a novel Balun-LNA, limiting amplifier (LA) chain and an output buffer. The detailed development of the novel Balun-LNA is demonstrated in this chapter. Measurement results of the implemented Balun- LNA, complete wideband amplifier, as well as the STO-ASIC pair, are illustrated.

• Chapter 5 presents the integration of the GMR STO and high frequency CMOS ICs. This chapter investigates possible integration methods and proposes a method for current STO technology. Based on the proposed integration method, this chapter focuses on a dedicated high frequency IC for GMR STOs, consisting of an on-chip bias-tee, ESD protection, input and output networks and an amplifi- cation stage. The detailed development and measurement results of the proposed IC and the entire integrated solution for GMR STO-IC are given in this chapter.

• Chapter 6 draws the conclusions and identifies the future work.

1.6 Contributions and Publications

In order to meet the thesis objective of enabling MTJ STOs’ use as microwave oscillators, possible power enhancement techniques for MTJ STOs have been in- vestigated. Based on this investigation, a wideband amplifier IC solution has been proposed to enhance the low output power of MTJ STOs, while optimizing the noise performance within a large portion of its tunability range. This proposed wideband amplifier consists of three stages: a Balun-LNA as input stage, followed by the amplification stage and the output buffer stage. A new Balun-LNA topology targeting MTJ STOs was proposed and implemented in 65 nm CMOS. The mea- surement results show 20 dB of gain, 2 GHz - 7 GHz bandwidth, and noise figure below 5 dB while consuming 3.84 mW from a 1.2 V power supply. This Balun-LNA is highly tolerant to the time-variant impedance changes expected from the MTJ STO devices. Due to the use of inductorless design techniques, the Balun-LNA is very compact and occupies a total area of only 0.0044 mm 2 . The amplification stage is realized by cascading five cascode stages to achieve large gain and a CS stage to achieve large output swing. The output buffer employs an adder to com- plete balance-to-unbalance conversion for the measurement purpose. Besides, it provides a 50 Ω output impedance matching for further connection to other exter- nal blocks. The measured S11 and S22 of the proposed wideband amplifier IC are approximately -10 dB. The de-embedded S21 is 35 dB, and a maximum NF of 5 dB is achieved in the bandwidth of 2 GHz - 7 GHz. The proposed amplifier has been connected to and measured with a MTJ STO. By connecting the proposed amplifier directly to a MTJ STO with a maximum output power of -40 dBm, an integrated power larger than -10 dBm can be obtained at the output of the STO-amplifier chain. The output power of the MTJ STO-amplifier pair can be used to drive a PLL.

To overcome the current difficulties in measuing GMR STOs and to build a reli-

able measurement setup for GMR STOs, an efficient approach of integrating GMR

STOs and its dedicated CMOS circuits has been proposed. Possible solutions of

(23)

1.6. CONTRIBUTIONS AND PUBLICATIONS 9

integrating GMR STOs and CMOS circuits have been investigated and a wire bond- ing method has been employed in this work since it is flexible, cheap and suitable for the current STO technology. The dedicated CMOS high frequency ICs for GMR STOs are determined by the wire bonding integration method, and proposed to provide on-chip DC biasing, ESD protection and wideband pre-amplification for GMR STOs. The proposed IC is composed of a bias-tee, ESD diodes, input and output networks and an amplification stage. It is implemented in 65 nm CMOS, consumes 14.3 mW from a 1.2 V power supply, and occupies a total silicon area of 0.329 mm 2 . The on-chip bias-tee is a key component for full integration and it has a measured isolation of more than 20 dB between the AC port and DC port, covering a bandwidth larger than 17 GHz and handling a maximum DC current of 25 mA. In addition, measurement results of the fully-ESD protected dedicated IC show a measured voltage gain of 13 dB with a -3 dB bandwidth of 12.5 GHz - 14.5 GHz. These measurement results of the dedicated IC show its potentiality to provide the required DC biasing, ESD protection and amplification to GMR STOs.

1.6.1 Publications

This thesis is part of a collaboration project on Spin Torque Oscillator (STO) technology. The STO devices have been provided by the partners. The author has proposed, analyzed, developed and implemented all CMOS circuits, test-boards, and measurement setups, and has performed the necessary chip measurements. In addition, the author has measured, together with the partners, the STOs used in this work and the (STO+CMOS IC) pairs.

This research has resulted in the following publications and manuscripts. Part of the material has been published in peer-reviewed international conference pro- ceedings.

• T. Chen, S. Rodriguez, A. Rusu, “Wideband Amplifier Design for Magnetic Tunnel Junction Based Spin Torque Oscillators”, in Proc. of GigaHertz Symposium 2012, Stockholm, Sweden, March 2012.

Author’s contribution: 100% theoretical analysis, 100% IC design, 90% of writ- ing the manuscript.

• T. Chen, S. Rodriguez, E. Alarcon, A. Rusu, “A 2 GHz - 8.7 GHz Wideband Balun-LNA with Noise Cancellation and Gain Boosting”, in Proc. of IEEE PRIME 2012, pp. 59-62, Aachen, Germany, June 2012.

Author’s contribution: 100% theoretical analysis, 100% IC design, 70% of writ- ing the manuscript.

• T. Chen, P. Dürrenfeld, S. Rodriguez, J. Åkerman, A. Rusu, “A Highly- Tunable Microwave Oscillator Based on MTJ STO Technology”, manuscript 2013.

Author’s contribution: 100% theoretical analysis, 100% IC design, 100% of

the CMOS amplifier IC measurement, 50% of the (MTJ STO+amplifier IC) pair

measurement, 90% of writing the manuscript.

(24)

10 CHAPTER 1. INTRODUCTION

• T. Chen, A. Eklund, S. Rodriguez, J. Åkerman, A. Rusu, “Integration of High Frequency CMOS Circuits and Giant Magnetoresistance-based Spin Torque Oscillator Technology”, manuscript 2013.

Author’s contribution: 100% theoretical analysis, 100% IC design, 100% of the dedicated CMOS IC measurement, 50% of the (GMR STO+IC) pair measurement, 95% of writing the manuscript.

• T. Chen, S. Rodriguez, A. Rusu, “A 2 GHz - 7 GHz Wideband Balun-LNA”, manuscript 2013.

Author’s contribution: 100% theoretical analysis, 100% IC design, 90% of mea-

surement, 80% of writing the manuscript.

(25)

Chapter 2

Spin Torque Oscillators Overview

2.1 Background on STO

As described in Chapter 1, STO technology attracted a lot of attention in recent years since it opened the possibility of a new nanoscale source of microwaves thanks to its advantages. The operation princple of this nanoscaled STO is based on two spintronic effects: spin transfer torque (STT) and magnetoresistance (MR). These effects are detailedly explained in this chapter.

2.1.1 Spin Transfer Torque

The idea of STT has been first predicted by John Slonczewsk [12] and Luc Berger [13] in 1996, which can be explained as: in a magnetic multilayer device, the angular momentum can be transferred by electron currents from one magnetic layer to another, which exerts a torque on the local magnetization. By using this transferred torque, the magnetization can keep sustained oscillation at microwave frequencies under specific conditions. This prediction was then experimentally verified by Tsoi in 2000 [14] by detecting microwave oscillations in a magnetic multilayer device. A typical multilayer structure of STO is shown in Figure 2.1 [9] : it uses two magnetic layers, which are isolated by a thin spacer or so called non-magnetic (NM) layer.

The magnetic layer with fixed magnetization is called polarized layer (PL) and the other magnetic layer whose magnetization is free to rotate is called free layer (FL). With an unpolarized current injected into the sandwich structure illustrated in Figure 2.1, a spin-polarized current is generated on PL, conducting to the STT effect. This STT effect transfers the spin momentum to FL, applying the torque on the local magnetization to compensate the magnetization damping precession in the magnetic thin film device, which is described by the Landau-Lifshitz-Gilbert (LLG) equation [15] :

dM

dt = −γM × H eff + αM × dM

dt , (2.1)

11

(26)

12 CHAPTER 2. SPIN TORQUE OSCILLATORS OVERVIEW

Free layer (FL)

Polarized layer (PL) Spacer(NM)

Figure 2.1: A typical STO structure

where γ is the gyromagnetic ratio; α is the damping parameter; M is the magneti- zation with the precessional motion; H eff is the effective magnetic field on FL. The magnetization can undergo sustained oscillation when the magnetization damping is balanced out by STT [16]. To conclude, the STT effect consists of keeping the pre- cession of magnetization of a nano-scaled magnetic layer by using a spin-polarized current. This interesting effect has been used to develop several novel spintronic devices. For example, the STT effect is employed in the spin transfer magnetore- sistive random access memory (STT-MRAM), which outperforms SRAM in terms of power consumption and cost. In order to develop STO devices, another effect of magnetoresistance is necessary to be combined with the STT effect.

2.1.2 Magnetoresistance

In a magnetic thin-film device with alternating ferromagnetic and NM conductive

layers, the electrical resistance of this device is a function of the magnetization or

magnetic field [16]. This effect is called the MR effect. By injecting a DC current

into the STO sandwich structure, the STT effect, as described above, is conducted

to keep the precession of magnetization by changing the orientation of the magne-

tization. As a result, this steady-state magnetization precession and the magnetic

field applied on STO causes a periodic variation of the MR value. In another words,

the value of MR is a function of the DC current injected into STO and a function of

the applied magnetic field. When the relative magnetization orientations of these

two ferromagnetic layers are aligned as shown in Figure 2.2(a) [17], this state is

called parallel state. In this state, the electrons can pass through the spacer easily

(27)

2.1. BACKGROUND ON STO 13

(a) (b)

Free layer (FL)

Polarized layer (PL)

I DC

Unpolarized current

NM

Free layer (FL)

Polarized layer (PL)

I DC

Unpolarized current

NM

Figure 2.2: Magnetoresistance effect (a). parallel state; (b). anti-parallel state

since they do not need to change the form of their wavefunctions [16]. Consequently, STO reveals the lowest resistance value during the magnetization precession, which is called parallel state and represented by R P . When the relative orientations of the magnetization between the free layer and fixed layer are anti-aligned as shown in Figure 2.2(b), this state is called anti-parallel state. In this state, the highest level of electrons reflection results in the largest resistance value during magnetization precession. R AP is used to represent the resistance value in the anti-parallel state.

This periodic variation of the MR value (between R P and R AP ) can be further considered as an electrical resistance value of STO oscillating around a DC value R DC . The time-variant part of the resistance, which is caused by the relative ori- entation of magnetization changes, can be regarded as a high frequency term R AC . The electrical resistance of STO, R S , can be then expressed by [17, 18]:

R S = R DC + R AC ·cos(2πf ·t) (2.2) where f is the operation frequency of STO. The relationships between R DC , R AC and R AP , R P can be described by:

R DC = R AP + R P

2 (2.3)

and

R AC = R AP − R P

2 (2.4)

As it can be seen in Figure 2.3, for a typical MTJ STO measured at KTH, R P and

R AP are 38 Ω and 64 Ω, respectively. The calculated R DC and R AC are 51 Ω and

13 Ω, respectively.

(28)

14 CHAPTER 2. SPIN TORQUE OSCILLATORS OVERVIEW

Figure 2.3: Measured MR in MTJ STO

The output power of STO is a function of R AC /R DC , which is expressed by [9]:

P out = ( R AC

R DC

) 2 · β

(1 + β) 2 ·R DC ·I DC 2 (2.5) where β is the impedance matching ratio and I DC is the DC current injected into STO. The maximum power can be obtained when the impedance seen from the output of STO is matched to R DC .

The MR coefficient (or sometimes called “MR ratio”) given by [9]:

M R ratio = R AP − R P

R P (2.6)

is also used to characterize the MR effect of STO or to predict the output power of STO.

By combining the STT and MR effects, the oscillation of STO can be sustained at several gigahertz and can be tuned by both I DC and the applied magnetic field.

2.2 Classification

Based on the type of the barrier or the spacer layer, given in Figure 2.2, STOs can

be classified into MTJ STOs and GMR STOs [9, 16]. The spacer layer in MTJ and

GMR STOs is thin insulator and thin metallic spacer, respectively. This structure

difference in different STOs determines different biasing conditions and dynamic

(29)

2.3. STATE-OF-THE-ART MTJ STOS 15

performances in MTJ and GMR STOs. Detailed RF performances of these two types of STO are studied separately in the following section.

For the measurement purpose in this work, the MTJ STO is embedded into signal-ground RF pads as shown in Figure 2.4(a) and the GMR STO is placed on a ground-signal-ground (GSG) coplanar waveguide as illustrated in Figure 2.4(b).

(b) (a)

G S

S

G G

Figure 2.4: Layouts of (a).pads for MTJ STO and (b).coplanar waveguide for GMR STO

2.3 State-of-the-art MTJ STOs

State-of-the-art MTJ STOs are based on CoFeB/MgO/CoFeB composition struc- ture [8, 9], since MTJ STOs with this structure exhibit relatively large magnetore- sistances, and hence large microwave powers. According to Eq.(2.5), an MTJ STO with a 51 Ω R DC (given in section 2.1) is able to provide a -12.5 dBm output power in theory, assuming that the impedance is matched at the output of the STO. Yet, the combination of power losses in the measurement setup and other effects in the STO [19] causes a large discrepancy between the theoretically calculated output power and the measured output power. The experimentally observed output power is significantly lower than the expected output power, and is usually between -60 dBm and -40 dBm. Additionally, the maximum measured output power of the MTJ STO is on the order of microwatts [20]. Accordingly, this low level of the output power prevents the MTJ STO being directly used as a microwave oscillator.

As mentioned before, an important advantage of using MTJ STOs as microwave

oscillators is that they can be simply tuned to cover a large part of the UWB fre-

quency range. The measured tunability from a circular MTJ STO with an approx-

imate diameter of 240 nm, is given in Figure 2.5 [8]. It can be seen from Figure 2.5

that by changing the applied magnetic field, the operation frequency of the MTJ

STO can be widely and linearly tuned from 3 GHz to 9 GHz. Additional tunability

can be achieved by altering the DC current, as illustrated in Figure 2.6. To protect

the tunnel barrier of MTJ STO from being broken by a large DC current, the DC

current injected into the MTJ STO should be limited to e.g. 10 mA. Figure 2.6

illustrates that an additional 0.5 GHz tunable range can be obtained by tuning the

(30)

16 CHAPTER 2. SPIN TORQUE OSCILLATORS OVERVIEW

Figure 2.5: Operation frequency of MTJ STO as a function of the applied magnetic field

0 2 4 6 8

4 4.5 5 5.5 6 6.5

Current (mA)

f (GHz)

5 10 15 20 25

Figure 2.6: Operation frequency of MTJ STO as a function of the injected DC current

DC current from 4 mA to 9 mA. Moreover, it can be calculated from Figure 2.6

that the Q of the MTJ STO is on the order of 100, which is much larger than that

of a typical CMOS VCO [21]. Furthermore, it can be observed from Figure 2.6

that the linewidth is on the order of 10 MHz and the frequency fluctuations exist

in this device, indicating that the STO is suffering from limited phase noise. The

(31)

2.4. STATE-OF-THE-ART GMR STOS 17

phase noise of the MTJ STO has been measured about -65 dBc/Hz at 1 MHz offset [22, 23], which is not acceptable for most LO applications. As a consequence, this limited phase noise should be improved in order to enable widespread uses of MTJ STOs in microwave applications.

The power consumption of the MTJ STO is depending on its operation fre- quency. A maximum power of 5.15 mW is consumed when the maximum allowable current of 10 mA is injected into the device. The power consumption of the MTJ STO is slightly lower than that of a state-of-the-art CMOS VCO [24], which is 6.8 mW.

2.4 State-of-the-art GMR STOs

Many studies of GMR STOs have recently been performed by varying the mag- netic stack composition [16], device dimensions, and biasing conditions (magnetic field strengh, magnetic field angle and DC current)[25], in order to understand the different dynamic properties of STOs. The GMR STOs used in this work are fab- ricated using a stack composition of Pd8-Cu15-Co8-Cu7-NiFe4.5-Cu3-Pd3 and in different dimensions, changing from 30 nm to 100 nm. Due to the fact that the maximum allowable current has a quadratic dependence on the device dimension, smaller GMR STO has much lower current handling capability, and hence much smaller current tunabiliy. For example, experiments showed that a 100 nm and a 70 nm GMR STO can usually handle a maximum DC current of approximately 45 mA and 28 mA, respectively. To illustrate the general case, 70 nm GMR STOs that are intermediate in sizes, are detailedly discussed in this work. In order to characterize both DC and RF performances of the GMR STO, the device is fabricated on the waveguide (presented in section 2.2), which is probed, and then connected by a bias-tee M iteq BT 4000 − S [26] through a RF cable. The AC port of this bias-tee is further connected to a wideband amplifier M ini − Circuits ZV A − 213+ [27] to be able to observe the STO signal in the spectrum analyzer.

The GMR STO with a diameter of 70 nm has been measured, and presents a typical R DC of 6.55 Ω and an MR ratio about 1 − 2% [28], as it can be seen in Figure 2.7. In comparison to the MTJ STO, the GMR STO has significantly lower MR ratio, indicating much lower output power according to Eq.(2.5) and Eq.(2.6).

Measurements show that a typical GMR STO can yield a output power of -100 dBm to -80 dBm in reality. The maximum output power found in literatures is about -70 dBm with the out-of-plane configuration of the magnetic field [9]. Accordingly, this low output power of the GMR STO makes it difficult to be observed, which impedes the study of its dynamic properties.

GMR STOs have extremely large tunability and high operation frequency. In

order to show its tunability and operation frequency, typical frequency shifts versus

DC current and magnetic field of the 70 nm GMR STO has been measured and

depicted in Figure 2.8. This RF performance measurement has been performed by

sweeping the magnetic field strengh from 0.7 T (= 7000 Oe) to 1 T (= 10000 Oe)

(32)

18 CHAPTER 2. SPIN TORQUE OSCILLATORS OVERVIEW

Figure 2.7: Measured MR in GMR STO

and the DC current from 10 mA to 30 mA, with a fixed magnetic field angle of 85 o . Each sub-figure of Figure 2.8 illustrates that a tunability of 5 GHz can be gained from tuning the DC current. It should be noted that a linear tunable range between 11 GHz and 14 GHz with very narrow linewidth can be obtained when applying a magnetic field of 0.9 T, as illustrated in Figure 2.8(c). In this case, the DC current tuning sensitivity of GMR STO can be calcuated about 0.3 GHz/mA. The magnetic field tuning sensitivity can be calculated in a similar way by comparing the frequencies at a fixed DC current, e.g. 20 mA, under different magnetic field strength conditions. As a result, the GMR STO presents a magentic field tuning sensitivity of approximately 0.75 MHz/Oe. To further show its protential tunability, the operation frequency of GMR STOs has been experimentally observed up to 46 GHz and extrapolated to 65 GHz [29].

In addition to tunability, Figure 2.8 also gives the information about the linewidth and the threshold current of GMR STO. It can be approximately read from the figure that the linewidth is on the order of MHz, which is narrower than that of the MTJ STO and hence higher Q. At room temperature, a maximum Q of 18000 has been found in similar GMR STOs. The threshold current of the GMR STO is a function of the magnetic field strength. For instance, the threshold current varies from 16 mA to 20 mA by altering the magnetic field from 0.7 T to 1 T. What’s more, it can be seen from Figure 2.8(c) and (d) that mode transitions happen at higher frequencies in GMR STOs, which is undesired in microwave applications.

The GMR STO with a 70 nm dimension can draw a maximum current of about

30 mA. Consequently, the maximum power consumption of this GMR STO is

(33)

2.5. SUMMARY 19

(a) (b)

(c) (d)

Figure 2.8: Operation frequency of GMR STO as a function of the DC current and the magnetic field strength with a fixed magnetic field angle of 85 o (a). H = 0.7 T (b). H = 0.8 T (c). H = 0.9 T (d). H = 1 T

around 3.7 mW, which is even lower than that of a MTJ STO.

2.5 Summary

The intriguing properties, especially extremely large tunability, miniature size, and

high quality factor, of MTJ STO suggest that it is a promising candidate for

the next generation microwave oscillators. However, challenges still remain be-

fore widespread applications of STOs are possible. To build the first STO-based

system, additional techniques are required to alleviate the current issues of MTJ

(34)

20 CHAPTER 2. SPIN TORQUE OSCILLATORS OVERVIEW

STOs.

Many dynamic properties of STOs, such as mode structure and phase noise, are

not yet very well understood [16, 30]. To further study these dynamic properties

of STOs, GMR STOs are widely used because they allow easier and faster fabrica-

tion, and larger injected DC currents than MTJ STOs [18]. The larger allowable

DC current of GMR STOs indicates a larger achievable tunability range. However,

currently, their maximum output power of nano-watt makes GMR STOs less suit-

able for any applications. For research purpose, KTH and GU have collaborated

and fabricated GMR STOs. Yet, as mentioned in section 2.4, the existing mea-

surement setup is not optimized for noise and power, which brings difficulties in

measuring the weak signal generated by GMR STOs. To overcome the identified

issues and build a reliable measurement setup, an approach of integrating GMR

STOs and their dedicated CMOS circuits, which preamplifies the weak GMR STO

signal, are required. A reliable and accurate measurement setup can enable further

studies of the emerging STO technology.

(35)

Chapter 3

STO-based System Architectures

As discussed in Chapter 2, the MTJ and GMR STOs present different dynamic properties, such as operation frequency and output power.

MTJ STOs offer larger output power than GMR STOs, due to larger magne- toresistance at the expense of somewhat lower operation frequencies. The relatively higher output power of MTJ STOs, as well as the considerably tunable range from 3 GHz to 9 GHz, enable their potential microwave uses. This chapter firstly inves- tigates possible applications of MTJ STOs. According to this investigation, this chapter gives the most suitable application for state-of-the-art MTJ STOs. Unfor- tunately, the main limitation of using MTJ STOs in microwave applications is their output power. Possible approaches, which can enhance the output power of MTJ STOs, are then investigated. Currently, using an external amplifier IC to enhance the output power of MTJ STOs is the only approach to prove their potential uses as microwave oscillators. Thereafter, this chapter identifies the circuit requirements based on the state-of-the-art MTJ STOs, and presents the system architecture of the MTJ STO-based applications.

In order to further study the dynamic properties of GMR STO technology, dif- ficulties in measuring GMR STOs should be overcome. To reach this goal, possible integration methods, which can improve the performance of the current measure- ment setup, are investigated and discussed in this chapter. The most appropriate integration method of wire bonding, targeting the state-of-the-art GMR STO tech- nology, is chosen. For the selected integration method, the system architecture for GMR STO measurement setup is determined. The CMOS high frequency IC, that is required to provide the necessary on-chip bias-tee, ESD protection, and amplification for GMR STO, are proposed.

3.1 STO applications

Considering STOs’advantages of high operation frequency and extremely wide tun- ability, potential microwave uses of STOs are modulators [31, 32], frequency detec-

21

(36)

22 CHAPTER 3. STO-BASED SYSTEM ARCHITECTURES

tors [33], magnetic field sensors [34], and oscillators [29].

3.1.1 Current-controlled modulator

It is detailedly described in Chapter 2 that by using the DC current-induced STT, the magnetization can undergo sustained oscillation, whose oscillation frequency can be tuned by the injected DC current. In [31, 32], it was further experimentally verified that STOs can be used as frequency modulator, by means of injecting a high-frequency AC current signal in addition to this DC current. Hence, a mod- ulated microwave signal can be simply obtained at the output of the STO. This effective modulation opens up the possibility of STO-based signal processing: high- frequency signal can be directly fed into the current-controlled STO and modulated.

Furthermore, recent study [32] has shown that the DC drive current actually creates a combined nonlinear frequency and amplitude modulation in STOs.

Nonetheless, this STO-based signal processing suffers from the issue of limited output power. Additionally, other nonlinearities such as temperature and dynamic- mode hopping are not yet clearly understood. As a result, STOs are not totally ready for being used as frequency modulators in communication systems.

3.1.2 Microwave frequency detector

It is reported in [33] that a spin-torque diode effect has been discovered in MTJ STOs. This spin-torque diode effect can be explained as: by applying a small microwave AC current to MTJ STO, whose frequency is resonant with the tunable oscillation frequency of STO, a DC voltage can be detected across the device. This is based on the fact that the device resistance is alternating between R P and R AP

when an alternating current is injected into the device. Accordingly, the average of the product of the AC current and the alternating resistance appears as a DC voltage across the spin-torque diode. This spin-torque diode is noise-tolerant since the DC voltage can only be obtained when the frequency of the AC signal is resonant with the oscillation frequency of STO.

This interesting diode effect found in STOs, along with their wide tunability, fast response and low power consumption, make it possible to build an STO-based non-coherent IR-UWB transceiver as shown in Figure 3.1. For the transmitter (TX), two different currents I 0 and I 1 can be used to represent the binary data “0”

and “1”, and hence can be alternatively injected into a single STO. STO can quickly respond to the different injected currents as altering between different frequencies or switching between on and off states, according to the desired modulation technique.

Possible modulation techniques are frequency-shift keying (FSK) and amplitude-

shift keying (ASK) as illustrated in Figure 3.1. For the receiver (RX), STO can

be directly used as a demodulator thanks to its diode effect, enabling a direct

conversion of the received microwave signals to high and low output voltages. These

high and low output voltages can be directly used to distinguish between binary

(37)

3.1. STO APPLICATIONS 23

STO PA

STO 1 0 1 0

1 0 1 0

ASK FSK OR

LNA e.g.

I 0 =3mA → 0 (FSK) Or I 0 =0mA → 0 (ASK)

I 1 =1mA → 1

I 1 =1mA → 1 ASK Antenna

FSK

1 0 1 0 OR

Figure 3.1: STO-based non-coherent IR-UWB transceiver

data “0” and “1”. This STO-based non-coherent IR-UWB transceiver may fully utilize STOs’ advantages while being immune to STOs’ limited phase noise [35].

However, the current issue of this possible application is that the DC voltage obtained from the STO diode effect is currently too low. For example, by feeding a microwave signal with a 10 mW (10 dBm) power, a DC voltage of 250 µV is obtained [36]. As a result, this DC output level is too low to be detected. Therefore, to solve this low DC voltage problem, extra circuits, such as power amplifier (PA) or chopper amplifier, are required to amplify either the microwave input signal or the output DC voltage. These extra circuits consume extra power, which is not desired by low-power applications.

3.1.3 Magnetic field sensor

As illustrated in Figure 2.5 and Figure 2.7, the operation frequency of STO is an approximately linear function of the applied magnetic field. According to this property, STO can be used to sense the magnetic field strength by measuring its oscillation frequency change. This application is attractive because it avoids mea- suring the signal amplitude, which requires large SNR in order to accurately sense the magnetic field. In addition, this STO-based magnetic sensor has very high sen- sitivity. The reported sensitivity of STO oscillation frequency to the magnetic field strength is 100 GHz/T - 180 GHz/T [34, 37, 38].

However, in order to use STOs to accurately detect the magnetic field, their

currently significant process variations, should be overcome. Besides, this STO-

based sensor still requires a biasing magnetic field in order to work properly.

(38)

24 CHAPTER 3. STO-BASED SYSTEM ARCHITECTURES

3.1.4 Microwave oscillator

With its intriguing properties, the STO becomes a promising candidate for mi- crowave oscillator, which can be used as LO in STO-based receivers. Before STO can be widespread used in practical applications, such as STO-based receiver, the issue of very limited output power should be solved. The output power of a single MTJ STO now reaches 1 µW. By enhancing this output power, the MTJ STO is able to be used as a microwave oscillator. There are several possible solutions to improve the output power of MTJ STO. Besides injection locking, which is ex- plained in Chapter 1, other possible solutions are synchronization of STO arrays [39, 40] and employment of external CMOS ICs. It has been verified by simulations in [41] that the output power level can be possibly lifted to 0 dBm by synchronizing hundreds of STOs in parallel. However, power combiners are required between each STO pair in order to add up the power at the output (assume the power combiners don’t introduce extra loss), which is not accepted in applications. Otherwise, STOs will load each other when they are connected in parallel, which results in no power enhancement. Besides, only two STOs at present can be experimentally synchro- nized in a parallel configuration with the help of separate biasing currents. This is because the operation frequencies and phases are not identical in two STOs due to process variations. Connecting STOs in series allows improving the output power without using external power combiners. Yet, series connection of STOs increases the complexibility of fabrication. Therefore, the only possible solution is applying an amplifier to alleviate the limited output power issue. It is reported in [9] that the maximum power can be obtained by employing a high input impedance ampli- fier. Nevertheless, this is not true when considering the maximum power transfer and imperfectly matched load. Accordingly, it is necessary to develop a wideband amplifier targeting MTJ STO with the consideration of power, noise and linearity.

The current issues, including process variation, limited linearity and undevel- oped diode effect, prevent STO being used as modulator, frequency detector and magnetic field sensor. As a result, the most suitable application for the state-of- the-art MTJ STOs is the microwave oscillator.

3.2 MTJ STO-based microwave oscillator architecture

MTJ STOs used in this work are provided by KTH, and thus the characteristics

of these MTJ STOs are similar to those in [8]. The characteristics of a typical

MTJ STO were discussed in Chapter 2 and are summarized in Table 3.1. Based

on the performance metrics in Table 3.1, key design parameters of the desired

amplifier IC are derived targeting MTJ STO-based microwave oscillator. These

key design parameters include impedance matching, bandwidth, gain, linearity and

noise. Considering these design parameters, the most suitable architecture for the

required amplifier IC is proposed. The detailed requirements that are related to

these design parameters, and the entire architecture are discussed in this section.

References

Related documents

• Chapter 4 reports CMOS circuits targeting two MTJ STO-based applica- tions: a novel balun-low noise amplifier (LNA) targeting MTJ STO-based magnetic field sensing applications, and

Spin Torque Oscillator-based Integrated Solutions for Magnetic Field Sensing and Wireless Communication Applications.. In: Electronics, Circuits and Systems (ICECS), 2014 21st

The gain and bandwidth of the amplifier for STO now meet the requirements, and an output buffer is required to convert the differential signal to single-ended signal and match

For GMR STNOs, which typically have a narrower linewidth than MTJ STNOs, the output power has been enhanced by using CoFeMnSi (CFMS) layers as magnetic layers.. By further combining

The CG-CS topology provides noise and distortion cancellation, broadband input impedance matching and simultaneous gain balancing.. The conventional CG-CS topology

An output buffer is used as the output stage to convert the balanced output to single- ended output and to match the output impedance to 50 Ohms.. Besides the low

The proposed Balun-LNA was implemented in a 65 nm CMOS technology and the measurement results show a voltage gain of 20 dB, bandwidth of 2 GHz - 7 GHz, IIP 3 of +2 dBm, and noise

The LNA, mixer, frequency divider, LO multiplexer-buffer, demultiplexer, and baseband amplifier circuits are designed in Cadence using a 65nm CMOS tech- nologyv. Different