• No results found

Övningsuppgifter Parallell och sekventiell databehandling (Moment 3)

N/A
N/A
Protected

Academic year: 2021

Share "Övningsuppgifter Parallell och sekventiell databehandling (Moment 3)"

Copied!
2
0
0

Loading.... (view fulltext now)

Full text

(1)

Övningsuppgifter Parallell och sekventiell databehandling (Moment 3) ETAA32

Ö3.1 Peka ut felet som finns i VHDL-koden nedan och föreslå en korrekt lösning

library IEEE;

use IEEE.STD_LOGIC_1164.all;

entity exc_F2_2 is port (

a, b, c : in std_logic;

x,z: out std_logic);

end exc_F2_2;

architecture rtl of exc_F2_2 is begin -- rtl

z <= b or c;

x <= a and b and z;

end rtl;

Ö3.2 Vad blir värdet på utsignalen z då ingångarna {x2,x1,x0} går från {0,1,1} till {1,1,1} ? library IEEE;

use IEEE.STD_LOGIC_1164.all;

entity exc_F2_3 is port (

x2, x1, x0: in std_logic;

z: out std_logic);

end exc_F2_3;

architecture rtl of exc_F2_3 is signal a,b : std_logic;

begin -- rtl z <= x1 and b;

a <= x0 and x1 and x2;

b <= a xor x0;

end rtl;

Ö3.3 Schemalägg drivningen av signalerna i en tabell (se nedan) för följande prossesexekvering:

process(a,b) begin

z <= a or b after 1 ns;

end process;

antag att a=’1’, b=’0’ och x=’1’ vid simuleringstiden = 0.

Vid tidpunkten 3ns blir b=’1’ och vid tidpunkten 6ns blir a=’0’ och b=’0’.

tid a,b,x drivare kommentar 0 ns 1, 0, 1

(2)

Övningsuppgifter Parallell och sekventiell databehandling (Moment 3) ETAA32

Ö3.4 Ta fram det booleska uttrycket utan att förenkla det för utsignalen e i den strukturella beskrivningen nedan.

library IEEE;

use IEEE.STD_LOGIC_1164.all;

entity exc_F2_4 is port (

a, b, c, d: in std_logic;

e: out std_logic);

end exc_F2_4;

architecture rtl of exc_F2_4 is signal s0, s1, s2, s3 : std_logic;

begin -- rtl

U0 : and2 port map (i0 => a, i1 => b, z => s0);

U1 : or2 port map (i0 => a, i1 => b, z => s1);

U2 : or2 port map (i0 => s2, i1 => s3, z => e);

U4 : cl port map (i0 => a, i1 => s0, i2 => d, z => s2);

U5 : cl port map (i0 => a, i1 => s1, i2 => d, z => s3);

end rtl;

Komponentnamn Logisk funktion

and2 z = i0·i1

or2 z=i0+i1

cl z=(i0 xor i1)·i2

References

Related documents

Rita schema för tillståndsmaskinen Ö4.2 Ta fram de logiska uttrycken för utsignalfuktionen och.. nästatillståndsfunktionen för tillståndsmaskinen given av grafen

[r]

[r]

Ö4.2 Konstruera en krets som ger ut ’1’ då fler än hälften av ingångarna till kretsen

[r]

Vilken skala (linjär/loggad) passar bäst för respektive axelc. I den vänstra kolumnen beskrivs sambandet mellan x och y då bägge mäts på den

Då risken för att åka fast ökar med en procent så minskar antalet brott per invånare i snitt med 0,5 procenta. Då risken för att åka fast ökar med en procentenhet så

För att mäta sambandet mellan lycka och religiositet så är det lämpligt att använda Spearmans rangkorrelation istället för Pearsons?. Förklara kortfattat