• No results found

Lösningar till övningsuppgifter Parallell och sekventiell databehandling (Moment 3)

N/A
N/A
Protected

Academic year: 2021

Share "Lösningar till övningsuppgifter Parallell och sekventiell databehandling (Moment 3)"

Copied!
1
0
0

Loading.... (view fulltext now)

Full text

(1)

Lösningar till övningsuppgifter Parallell och sekventiell databehandling (Moment 3) ETAA32

Ö3.1

Signalen z är deklarerad som en utsignal (out) men används på högersida i en tilldelningssats (x <= a and b and z), vilket inte är tillåtet.

Ö3.2

Utgången z kommer att gå från ’1’ till ’0’.

Ö3.3

tid a,b,x drivare kommentar 0 ns 1, 0, 1 (1,0ns), (1, 1ns) Körs p.g.a initiering

1 ns 1, 0, 1 (1,1ns) Nytt värde på x

3 ns 1, 1, 1 (1,1ns), (1, 4ns) Nytt värde på b

4 ns 1, 1, 1 (1, 4ns) Nytt värde på x

6 ns 0, 0, 1 (1, 6ns), (0, 7ns) Nytt värde på a och b

7 ns 0, 0, 0 (0, 7ns) Nytt värde på x

Ö3.4

e = (a xor (ab))d + (a xor (a+b))d

References

Related documents

Binär kod Gray-kod BCD-kod One-hot Egen kod. Binär kod Gray-kod BCD-kod One-hot

Rita schema för tillståndsmaskinen Ö4.2 Ta fram de logiska uttrycken för utsignalfuktionen och.. nästatillståndsfunktionen för tillståndsmaskinen given av grafen

Koden är ofullständigt skriven där det inte anges vad A1, A0 ska vara då samtliga ingångar är noll.. Den här kretsen har funktionen av en binär prioritetsavkodare så

[r]

Ö4.2 Konstruera en krets som ger ut ’1’ då fler än hälften av ingångarna till kretsen

[r]

Funktion: Varje gång signalen x ändrar värde ska värdet x+10 tilldelas z. Ö2.2 Skriv VHDL-kod, entity och arkitektur till

Ö3.4 Ta fram det booleska uttrycket utan att förenkla det för utsignalen e i den strukturella