• No results found

Growth and Characterization of Ti-Si-N Thin Films Axel Flink

N/A
N/A
Protected

Academic year: 2021

Share "Growth and Characterization of Ti-Si-N Thin Films Axel Flink"

Copied!
70
0
0

Loading.... (view fulltext now)

Full text

(1)

Linköping Studies in Science and Technology Dissertation No. 1190

Growth and Characterization of

Ti-Si-N Thin Films

Axel Flink

Thin Film Physics Division

Department of Physics, Chemistry, and Biology (IFM) Linköping University

SE-581 83 Linköping, Sweden 2008

(2)

Cover Images:

The cover has a collage of images from electron and tunneling microscopy, arc evaporation processing, milling application, and theoretical simulations.

© Axel Flink, 2008

ISBN: 978-91-7393-882-2 ISSN: 0345-7524

(3)

Ti-Si-N and Ti-Al-Si-N thin solid films have been studied by analytical electron microscopy, X-ray diffraction, scanning tunneling microscopy, X-ray photoelectron spectroscopy, elastic recoil detection analysis, nanoindentation, and ab initio calculations. I find that arc evaporated (Ti1-xSix)Ny films can be grown as cubic solid solutions up to x= 0.09 with a dense columnar

microstructure. Films with higher Si content up to x= 0.20 assumes an extremely defect-rich, feather-like structure consisting of cubic TiN:Si nanocrystallite bundles with low-angle grain boundaries caused by thermodynamically driven Si segregation. Correspondingly, the N content in the films increases close to linear with the Si content from y=1.00 (x =0) to y=1.13 (x=0.20). Annealing of the films at 1000°C yields a metastable crystalline SiNz (1.0 z 1.33)

tissue phase in 0.04 x 0.20 films which is (semi)-coherent to TiN. These films are compositionally stable and exhibit retained hardness between 31-42 GPa up to 1000 °C. At 1100-1200°C, the tissue phase amorphizes and all SiNz diffuse out of the films, followed by

recrystallization of the cubic phase. Hard turning testing was performed on (Ti0.83Si0.17)N1.09.

Analysis of the tool-chip interface prepared by focused ion beam revealed shear deformation in the film and an adhering layer consisting of the work-piece material and Si and N from the film. For (Ti0.33Al0.67)1-xSix)N (0 x 0.29) films the NaCl structure cubic (Ti,Al)N solid solution

phase is predominant at low Si contents, which gradually changes to a dominating hexagonal wurtzite (Al,Ti,Si)N solid solution for 0.04 x 0.17. Additional Si results in amorphization.

Annealing experiments at 600-1000 °C yields spinodal decomposition of c-(Al,Ti)N into c-AlN and TiN, with corresponding age hardening. The h-(Al,Ti,Si)N films exhibit precipitation of c-TiN with smaller volume than the host lattice, which results in tensile cracks formations and age hardening. Films with c-(Ti,Al)N perform best in turning applications, while films with h-(Al,Ti,Si)N form cracks and fail. Finally, I have characterized the nature of metastable crystalline SiNz phases and the interface between TiN(001) and SiNz. Magnetron sputtering was

used to deposit TiN/SiNz(001) nanolaminate films with varying SiNz and TiN layer thicknesses.

Maximum hardness is obtained when SiNz forms coherent interfaces with TiN. In addition, in

situ surface analyses in combination with ab-initio calculations reveal that SiNz sub-monolayers

grow epitaxially and form crystalline reconstructions on TiN(001) and TiN(111) surfaces. Phonon calculations predict that stoichiometric c-SiN is dynamically instable when the atoms are arranged in the NaCl and ZnS forms. However, c-Si3N4 can be stabilized with D022 or L12

ordered ZnS-like structures. These results have impact for the design of superhard nanocomposites and multilayer thin films.

(4)
(5)

Utvecklingen inom materialforskningen går mot att framställa avancerade material vilka är skräddarsydda för olika tillämpningar. Detta har medfört att det blir allt mer populärt att belägga ytor med ett eller flera tunna lager med syfte att förbättra materialegenskaperna. Användnings-områden för ytbeläggningar går att hitta inom allt från vardagliga produkter såsom teflon-beläggningar av stekpannor, förgyllning av smycken till avancerad halvledarteknik för att åstadkomma energieffektiva lysdioder. Det enskilt största tillämpningsområdet för tunna filmer är dock som skyddande skikt för verktyg inom skärande bearbetning. Utvecklingen går stadigt mot högre skärhastigheter och därmed ökade temperaturer, idagsläget kan området där verktyget och arbetsmaterialet är i kontakt nå temperaturer på mellan 800-1000 °C utan att förlora nämnvärt i styrka. Detta har gjorts möjligt genom att belägga skären med någon eller några µm (tusendels mm) av lämpligt keramiskt material i avseende att öka motståndskraften för nötning vid bearbetning vid höga temperaturer.

I den här avhandlingen har tunna filmer studerats med det övergripande målet att förbättra egenskaper hos verktyg för skärande metallbearbetning genom att öka motståndskraften hos materialen mot mekanisk och kemisk nötning vid höga temperaturer. Materialsystemet som undersökts är Ti-Si-N, där tunna filmer av både legeringar och tvåfassystem har syntetiserats och egenskapskarakteriserats. Legeringarna är belagda med varierande Si-halt från 0 till 10 atomprocent och avsedda för att studera strukturella, termiska och mekaniska egenskaper. De framställdes med en teknik som kallas arcförångning, där man i ett vakuumsystem frigör högenergetiskt material i det här fallet av Ti och Si som förångas från en solid yta kallad target. Atomerna joniseras genom kollisioner med elektroner och reagerar på sin väg mot substratet med kvävgas. Väl framme vid substratet, kondenserar jonerna och bilder den tunna filmen. Filmerna består av två strukturtyper, den första är en fast lösning där Si atomer upp till 5 at.% ersätter Ti atomer i TiN. I det andra fallet så segregerar Si till korngränserna. Värmebehandlingsexperiment visar att Si bildar SiNx som kapslar in TiN-korn vid temperaturer

upp till 1000°C. Hårdhetstester visar att filmerna bibehåller sin hårdhet upp till 1000°C tack vare fasomvandlingen. Även vid 1100 °C är hårdheten hög. Dessa skikt besitter alltså egenskaper som gör dem väldigt användbara inom tillämpningar för skärande bearbetning.

Nanostrukturerade materials egenskaper beror på dess mikrostruktur snarare än på de grundämnen som ingår, detta exemplifieras av TiN-SiNx-nanokompositer bestående av

nanokristallina TiN-korn inbäddade i några få atomlager SiNx, där materialegenskaperna helt

och hållet beror på kornstorleken på TiN-kornen och tjockleken på SiNx-lagren. Ökas tjockleken

på SiNx minskar hårdheten. Dessa filmer har mycket goda mekaniska egenskaper och är ett av

de hårdaste materialen som finns. Nyckeln till den höga hårdheten hos skikten ligger i att bilda starka bindningar mellan TiN och SiNx. Hur dessa ser ut vet man dock inte eftersom strukturen

(6)

krökta form och begränsade volym. I denna avhandling har TiN/SiNx multilager belagts, dvs. en

lagrad struktur TiN alternerad med SiNx. Dessa filmer framställdes med sputtring, en teknik som

liknar arcförångning men där man istället accelerera positivt laddade joner mot Ti och Si targets med en hög negativ potential som frigör Ti och Si. I multilagren varierades SiNx-lagrets tjocklek

mellan endast några få atomlager för att göra en förenklad modell av gränsytan hos nano-kompositen och med atomupplöst transmissionselektronmikroskopi samt hårdhetsmätningar konstateras sedan att de hårdaste filmerna var de där kristallin SiNx stabiliseras mellan

TiN-korn. Vidare studerar jag SiNx/TiN ytor med sveptunnelmikroskopi och täthetsfunktionalteori

(en kvantmekanisk simuleringsmetod). Mina resultat visar SiNx och bindningarna till TiN är

mycket mer komplicerade än vad man tidigare trott, då de kan vara kristallina och anta komplexa rekonstruktioner. Detta bidrar till den starka bindningen mellan TiN och SiNx vilket i

(7)

This Thesis is a result of my Ph.D. studies from February 2004 until May 2008 in the Thin Film Physics Division at the Department of Physics, Chemistry, and Biology (IFM) at Linköping University. The work has been carried out in collaboration with Seco Tools AB in Fagersta, Sweden, and the Materials Science Department at University of Illinois of Urbana-Champaign, USA. The work was supported by Seco Tools AB, the Swedish Research Council (VR), and the Strategic Research Centre on Materials Science for Nanoscale Surface Engineering (MS2E)

(8)

Paper I

“Influence of Si on the Microstructure of Arc Evaporated (Ti,Si)N Thin Films; Evidence for Cubic Solid Solutions and their Thermal Stability”

A. Flink, T. Larsson, J. Sjölén, L. Karlsson, and L. Hultman Surf. Coat. Technol. 200 (2005) 1535-1542

Paper II

“Phase Transformations in Arc-Evaporated Ti-Si-N Thin Films”

A. Flink, M. Beckers, J. Sjölén, T. Larsson, S. Braun, L. Karlsson, and L. Hultman Manuscript in final preparation

Paper III

“Microstructure of the tool-chip interface investigated by focused ion beam and analytical transmission electron microscopy”

A. Flink, R. M’Saoubi, J. Sjölén, M.P. Johansson, T. Larsson, F. Giuliani, L. Karlsson, and L. Hultman

Submitted for publication Paper IV

“Structure and Thermal Stability of Arc-Evaporated (Ti0.33Al0.67)1-xSixN Thin Films”

A. Flink, J.M. Andersson, B. Alling, R. Daniel, J. Sjölén, L. Karlsson, and L. Hultman Submitted for publication

Paper V

“Interface structure in superhard TiN-SiN nanolaminates and nanocomposites: film growth experiments and ab initio calculations”

L. Hultman, J. Bareño, A. Flink, H. Söderberg, K. Larsson, V. Petrova, M. Odén, J.E. Greene, and I. Petrov,

Phys. Rev. B. 75 (2007) 155437 Paper VI

“Growth and characterization of TiN/SiN(001) superlattice films”

H. Söderberg, A. Flink, J. Birch, P.O.Å. Persson, M. Beckers, L. Hultman, and M. Odén J. Mater. Res. 22/11 (2007) 3255

(9)

Paper VII

“SiNx 1x5/TiN(001) surface reconstructions studied by scanning tunneling microscopy and

ab initio calculations”

A. Flink, A.S. Mikhaylushkin, J. Bareño, S.I. Simak, J.E. Greene, I. Petrov, I.A. Abrikosov, and L. Hultman

In manuscript Paper VIII

“On the metastability of fcc-related Si-N phases”

B. Alling, E.I. Isaev, A. Flink, L. Hultman, and I.A. Abrikosov Submitted for publication

(10)

My contribution to the included papers Paper I

I was involved in the planning and deposition, did all characterization except the calculations, and wrote the paper.

Paper II

I headed the planning meetings, participated during the depositions, and performed all the characterization, except ERDA and XPS were I participated. I wrote the paper.

Paper III

I headed the planning meetings, performed SEM, EDX, and the TEM analysis. I wrote the paper.

Paper IV

I headed the planning meetings, did all TEM and the annealing study, most of the nanoindentation measurements, and wrote the paper.

Paper V

I took part and performed parts of the STM study, did most of the TEM and calculations, and parts of the nanoindentation. I contributed to the discussion regarding the content of the paper. Paper VI

I performed all nanoindentation and most of the TEM. I contributed to the discussion of the results and to the contents of the paper.

Paper VII

I was responsible for the planning of the work and performed some of the calculations. I wrote the paper.

Paper VIII

(11)

“Ti2AlN Thin Films by Reactive Magnetron Sputtering from a 2Ti:Al Compound Target”

T. Joelsson, A. Flink, J. Birch, and L. Hultman J. Appl. Phys 99 (2007) 034902

“Nanoindentation Hardness, Texture and Microstructure of -Al2O3 and -Al2O3 coatings”

S. Ruppi, A. Larsson, A. Flink In press in Thin Solid Films

“SiNx-induced surface reconstructions on TiN(001)”

J. Bareño, A. Flink, V. Petrova, J.E. Greene, L. Hultman, and I. Petrov In manuscript

“Role of Carbon in Boron Suboxide Thin Films”

D. Music, V.M. Kugler, Zs. Czigany, A. Flink, O. Werner, J.M. Schneider, L. Hultman, and U. Helmersson

J. Vac. Sci. Technol. A21 (2003) 1355

“Cutting Tool Inserts, Solid End Mill or Drill Coated with Wear Resistant Layers” A. Flink, L. Hultman, J. Sjölén, T. Larsson, L. Karlsson,

(12)

Many people have contributed to this Thesis and for my wellbeing during these years; I would especially like to thank:

Lars Hultman, my supervisor, for giving me the opportunity to work in the interesting field of material science and thin films. You have created a very nice working atmosphere in the thin film group and given me great opportunities in terms of travel, collaboration, and work topics. Thank you for all support during these years!

Lennart Karlsson and Jacob Sjölén at my collaboration partner Seco Tools AB, for an in my opinion perfect collaboration! You have always taken your time to discuss various issues and assisted with different measurements. Your support and encouragement have been very important for me.

Jon Andersson, Mats Johansson, Tommy Larsson and Rachid M’Saoubi at Seco Tools AB, which I have collaborated with in different projects. Thanks for an efficient and friction-less cooperation!

Arkady Mikhaylushkin, Björn Alling, Sergei Simak, and Igor Abrikosov from the Theory Group, thank you for your helping spirit about the calculations and your patience along the way to find nanocorns! I think the thin film and theory groups have a very strong collaboration, which I hope it will continue!

Javier Bareño*, Vania Petrova, and Ivan Petrov from University of Illinois at Urbana-Champaign for fruitful collaborations and for taking care of me during my visits in Chambana! (* presently with the Thin Film Physics Group at Linköping University.)

Magnus Odén, before in Luleå and now in Linköping, who always have taken time for various discussions with me, no matter if you are frying falukorv at home or if you are stressed with a heavy work load. Thank you!

Jens Birch for explaining various matters, mostly XRD-related, but also for contributing to the nice atmosphere in the Thin Film Group.

Hans Söderberg previously in Luleå for a very nice collaboration, including discussions about everything and your happy spirit!

Manfred Beckers, for constructive discussions and collaboration regarding the lengthy Ti-Si-N paper.

Per Persson for teaching me how to operate an analytical transmission electron microscope; an invaluable analysis technique for this thesis!

Hans Högberg for your helping spirit and encouragement.

All co-authors and for contributing to the papers included in this Thesis.

Karl-Olof Brolin, Inger Eriksson, and Thomas Lingefelt, without you the thin film group simply would not work! Thanks for all help and nice discussions during these years.

(13)

Thin Film, Plasma, and the Nanostructured Materials groups, both past and present members. Here, I want to mention each one of you for the nice company and your helping spirit during all these years…both at work and on trips to for instance Iceland, Romme, Tönsberg, different golf courses, driving range, hikings, key fishing, poker nights, lunches, dinners, parties, gym, rinkbandy, or just for a coffee break in Zeni(h)t. Because of You I have experienced ~5.5 great years here!

Staff at the Coatings Division at Seco Tools AB, for always making me feel welcome and for creating a nice atmosphere during my visits in Fagersta both at and outside work!

(14)
(15)

1 Introduction . . . 3

1.1 Research Goals . . . 4

2 Thin Film Processing . . . 7

2.1 Plasma Basics . . . 7

2.2 DC Magnetron Sputtering . . . 8

2.3 Arc Evaporation . . . 10

2.4 Thin Film Growth . . . 12

2.4.1 Epitaxial Films . . . 12

2.4.2 Polycrystalline Films . . . 13

2.5 Metastable Phases . . . 14

2.5.1 Low-Temperature Synthesis . . . 14

2.5.2 Ion-Bombardment Induced Effects . . . 15

2.6 Residual Stress . . . 15

3 Thin Film Characterization . . . 17

3.1 X-ray Diffraction . . . 17

3.1.1 Sin2 -method . . . 18

3.2 Electron Microscopy . . . 20

3.2.1 Scanning Electron Microscopy . . . 20

3.2.2 Transmission Electron Microscopy. . . 21

3.2.3 Scanning Transmission Electron Microscopy . . . 24

3.2.4 Sample Preparation . . . 24

3.3 Scanning Tunneling Microscopy . . . 25

3.4 Chemical Analysis . . . 27

3.4.1 Energy Dispersive X-ray Spectroscopy . . . 27

3.4.2 Electron Energy-Loss Spectroscopy . . . 27

3.4.3 Elastic Recoil Detection Analysis. . . 28

3.4.4 X-ray Photoelectron Spectroscopy . . . 29

3.5 Nanoindentation . . . 29

4 Theoretical Modeling . . . 33

4.1 Background . . . 33

4.2 Density Functional Theory . . . 33

4.2.1 Approximations for Many-Body Interactions . . . 34

4.2.2 Plane Waves and Pseudopotentials . . . 34

(16)

5 The Ti-Si-N System . . . 37

5.1 Titanium Nitride . . . 38

5.2 Silicon Nitride . . . 38

5.3 Ti-Si-N Thin Films . . . 39

5.3.1 TiN-SiNx Nanocomposites . . . 39

5.3.2 TiN/SiNx Multilayers and Superlattices . . . 40

5.3.3 Hardening in nanocrystalline materials . . . 40

5.3.4 Metastable Ti-Si-N and Ti-Al-N . . . 41

5.3.5 Age Hardening . . . 42

5.4 Phase Identification for Ti-Si-N Thin Films. . . 43

6 Summary of the Papers and Contribution to the Field . . . 47

6.1 Nature of (Ti1-xSix)Ny Alloy Films . . . 47

6.1.1 (Ti0.33Al0.67)1-xSixN Alloy Films. . . 49

6.2 TiN/SiNx Nanolaminate Films. . . 50

6.3 SiNx/TiN Surface Reconstructions . . . 52

7 Future Outlook . . . 55 Paper I . . . 57 Paper II. . . 67 Paper III . . . 87 Paper IV . . . 95 Paper V . . . 111 Paper VI . . . 119 Paper VII . . . 131 Paper VIII . . . 139

(17)

hin films are material layers with thickness ranging from fractions of a nanometer to several micrometers. Many fields benefit from the advances in thin film technology, for instance microelectronics, optics, and metal cutting. All classes of materials can in principle be processed in thin film form; among them the ceramics are of interest in the sense of heat resistance, high hardness, inertness, and thermal shock resistance. These properties make them suitable as protective coatings against corrosion, oxidation and wear. In particular, the use of ceramic thin films on cutting tools may extend the life time of the tool by several orders of magnitude.

Thin films were introduced as wear-resistant coatings in the metal cutting industry during the 1970’s. Today, about 90% of the inserts for metal cutting based on cemented carbide substrates are coated for wear protection by chemical vapor deposition (CVD) or physical vapor deposition (PVD). The work in this thesis concerns the development of PVD deposited thin films for metal cutting tools.

TiN was one of the first wear-resistant coating materials in the cutting tool industry because of its combined high hardness, stiffness and chemical inertness [1]. However, its main shortcoming is the sensitivity to oxidation and hardness degradation at temperatures above 500 °C [2]. When utilizing higher cutting speed in order to increase productivity the temperature at the contact between the tool and chip increases; typical work temperatures today are between 800 and 1000 °C. This, in turn, puts high demands on the inserts as well as the protective thin film and has motivated research in order to develop wear resistant films with improved thermal stability. During the 1990’s, Ti-Al-N and Ti-C-N thin films replaced TiN to a large extent, due to their superior hardness and thermal properties. The Ti-Al-N system, which is most related to the work in this Thesis, has been investigated by numerous of researchers and its structural, thermal, and mechanical properties are relatively well characterized. Much of the focus has been on metastable solid solutions grown at conditions far from thermodynamical equilibrium where fractions of Ti atoms are substituted by Al atoms in the TiN lattice [3,4]. These films have shown the ability for age hardening through phase transformations at temperatures around 900 to 1000 °C.

Ternary and quaternary compound coatings have expanded to cover a range of materials based on the Ti-N, Cr-N, and Ti-C-N systems, including Cr-Al-N, Ti-Si-N, Ti-Zr-N, Ti-Al-Si-N, and Ti-Si-C-N. Among these, the Ti-Si-N system has gained an enormous interest during the last decade, because of the reported mechanical properties showing extreme intrinsic hardness of 50-60 GPa [5] or 35-50 GPa [6] combined with thermal stability up to 1100 °C [5] for

TiN-T

(18)

SiNx nanocomposites. These hardnesses are comparable to the second hardest material known,

c-BN [7]. The TiN-SiNx nanocomposites are formed by a thermodynamically driven phase

separation from the mixed state into the binary phases of TiN and SiNx where equi-axed TiN

nanocrystallites are embedded in a SiNx tissue phase. The mechanical properties of such

nanocrystalline materials are intrinsically defined by the microstructure as much as the internal components. The highest hardness is achieved for a TiN grain size of 10 nm and a SiNx tissue

phase with a thickness of 5 Å. Due to the small volume and large curvature of the SiNx phase,

its microstructure is difficult to characterize with conventional structural characterization methods, such as X-ray diffraction (XRD) and transmission electron microscopy (TEM). At first it was interpreted as amorphous [8] since XRD did not reveal the presence of any crystalline SiNx, later the publications by Söderberg et al. and Hu et al. [9,10] showed that the SiNx

structure can be X-ray amorphous, but yet crystalline when epitaxially stabilized in-between TiN layers in TiN/SiNx nanolaminates. In parallel and motivated by the above findings, my PhD

studies began.

The objective of this Thesis is to understand Ti-Si-N thin films in the form of alloys and nanolaminates with respect to microstructure, mechanical properties, and phase transformations including metastable states. These have been characterized by annealing studies combined with analytical TEM for structural information, elastic recoil detection analysis for chemical composition, nanoindentation, and cutting tests combined with focused ion beam sectioning for mechanical properties.

In order to correlate the excellent mechanical properties of TiN-SiNx nanocomposites and

nanolaminates to the microstructure, the structural nature of the SiNx tissue phase and its

interface to TiN must be explored. The approach is to synthesize TiN/SiNx multilayers and SiNx

submonolayer surfaces onto TiN. Thus, interface model structures are obtained, which enables the determining analysis from a combination of analytical TEM, in situ scanning tunneling microscopy, and low-energy electron diffraction. Furthermore, ab initio density functional theory calculations were employed to get insight into the atomic structure and stability of the experimentally observed structures.

The combination of the above studies including experimental and theoretical characteri-zations should lead to a better understanding of the Ti-Si-N system in order to optimize film properties for applications. Furthermore, to understand the yet more complex quaternary or multinary nitrides based on Ti, Al, Si, and N in extended studies, a fundamental understanding of the ternary Ti-Si-N system is necessary.

(19)

[1] P.O. Snell, Jernkontorets Anm. 154 (1970) 413

[2] L. Karlsson, A. Hörling, M.P. Johansson, L. Hultman, G. Ramanath, Acta Mater. 50 (2002) 5103

[3] A. Hörling, PhD Thesis (Linköping Studies in Science and Technology, Dissertation no. 922, Linköping University, Sweden, 2005)

[4] P.H. Mayrhofer, A. Hörling, L. Karlsson, J. Sjölén, T. Larsson, C. Mitterer and L. Hultman, Appl. Phys. Lett. 83 (2003) 2049.

[5] S. Veprek, M.G.J. Veprek-Heijman, P. Karankova, J. Prochazka, Thin Solid Films, 476 (1) 2005 1

[6] A.C. Fischer-Cripps, P. Karvankova, S. Veprek,Surf. Coat. Technol. 200 (2006) 5645

[7] P.B. Mirkarimi, D.L. Medlin, K.F. McCarty, D.C. Dibble, W.M. Clift, J.A. Knapp, J.C. Barbour, J. Appl. Phys. 82 (1997) 1617

[8] S. Veprek, S. Reiprich, Thin Solid Films, 268 (1995) 64

[9] H. Söderberg, J.M. Molina-Aldereguia, L. Hultman, M. Odén, J. Appl. Phys. 97 (2005) 114327

(20)
(21)

here are two main classes of thin film deposition techniques, chemical vapor deposition (CVD) and physical vapor deposition (PVD). In CVD, volatile gases let into the deposition chamber react with each other, typically at elevated temperatures close to thermal equilibrium, in order to form the desired coating on a substrate. In PVD techniques generally, the film material is vaporized in vacuum from a material, the target, which most often is a solid. The vapors are transported to and eventually condense onto a substrate surface to form a thin film. Usually, film processing with PVD techniques takes place at temperatures far below thermal equilibrium.

In this Thesis the plasma-based PVD methods arc evaporation and magnetron sputtering have been used. In the following sections I will first go through the very basics of plasma physics, and thereafter focus on the magnetron sputtering and arc evaporation techniques.

A plasma is a partially ionized gas, which consists of electrons, ions, and neutral particles and must on average remain electrically neutral, the so-called plasma approximation [1]. Depending on the voltages and currents, the plasma can be divided into different regimes, see Fig. 2.1. In sputtering, a high-voltage, low-current plasma, often referred to as glow discharge, is used, while arc evaporation utilizes a low-voltage and high-current plasma, or arc discharge.

To initiate a glow discharge in a low-pressure gas, a dc voltage is applied from a high-impedance dc supply. At first, a small current flows due to the restricted number of initial charge carriers in the system. As the voltage increase, the charged particles retrieve enough energy to produce more charged particles via ion collisions to the cathode, which release secondary electrons and ionization of atoms through collisions. This yields a rapid current increase while the voltage is limited by the impedance of the power supply and remains constant. This regime is called the Townsend discharge. When the current is sufficiently high, large numbers of ions and electrons are created through avalanches and eventually the process becomes self-sustaining. The voltage will then drop, accompanied by an increase in current; this is the normal-glow state (Fig. 2.1).

(22)

Fig. 2.1 Potential-current characteristics for the different regimes in plasmas. From [2].

Any isolated surface within the glow discharge will initially be negatively charged because negatively charged electrons in the plasma have higher mobility than the positive ions. Subsequently, the negative charge will repel other electrons and attract ions until equilibrium of a slightly negative potential is reached, referred to as the floating potential. In a similar way, the plasma will initially loose more electrons to the electrodes than ions, resulting in a positive potential in the plasma. Also, this potential will fluctuate until equilibrium of the positive plasma potential is reached.

If the power is increased from the normal discharge, an increase in both voltage and current to the abnormal discharge region will occur. This is the region in which magnetron sputtering operates. The cathode now collects a large number of positive ions, each with a higher energy than before, which causes the cathode temperature to increase. As a consequence, the thermionic emission from the cathode now becomes comparable to the electron emission by positive-ion bombardment. The thermionic emission current is a function of the temperature and, therefore, sensitive to the current. The cathode voltage may be reduced and the necessary heat is delivered to the cathode by the increased current at smaller areas, and an arc with very high current density, the arc discharge, appears gradually.

!"

The plasma used in sputtering is usually weakly ionized, i.e., the number of charged particles is low compared to the number of neutrals, and electrically driven. The applied power

(23)

preferentially heats the mobile electrons, while the heavy ions efficiently exchanges energy by collisions with the background gas, hence, Te >>Ti. These plasmas are almost never in thermal

equilibrium.

The process of sputtering starts by introducing a sputtering gas, preferably inert, into a vacuum chamber. A high voltage is applied to the target; this creates a visible glow discharge by ionization of the inert gas. The gas ions will be accelerated towards, and eventually collide, with the negatively biased target. If the kinetic energy of the incoming ions is higher than the binding energy of the target surface atoms, the atoms may be ejected, sputtered. The ejected target material will vaporize and travel through the plasma to the substrate. Depending on the kinetic energy of the incoming coating material and the temperature of the substrate, ad-atoms may or may not migrate on the surface until they occupy an energetically favorable position. As the ions collide with the target they will also cause emission of secondary electrons. Since the electrons are negatively charged they will be repelled from the target and instead collide with other atoms and ions to free electrons. This will create positively charged ions to maintain the process.

The TiN/SiNx multilayers in Paper V and VI were deposited by dc magnetron sputtering

from a Ti and Si target, where reactive N2 gas was mixed with inert Ar gas. The magnetrons

were of unbalanced types. In magnetron sputtering, the plasma is typically weakly ionized. To increase the ionization process of the Ar and N2 atoms, a magnetic coil was coupled with the

two magnetrons in order to stretch the plasma toward the substrate (see Fig. 2.2). The correspondingly higher ion-density will allow for better control of the film growth via the substrate bias. The voltages used in this thesis to produce the multilayer TiN/SiNx films were in

the range -30 to -60 V at the substrate to induce a low-energy film surface ion-bombardment (c.f. the acceleration voltage at the targets of -350 to -400 V). This ion-bombardment promotes ad-atom mobility and results in a well defined layer-by layer growth. However, the ion-bombardment may also induce lattice defects, such as interstitials and vacancies.

Fig. 2.2 Schematic view of the dual magnetron sputtering chamber used for depositions

(24)

#

$

%& "

Arc evaporation (also referred to as cathodic arc plasma deposition) is widely used as a deposition technique because of its promise of an efficient source of highly ionized material for producing dense adherent coatings having a wide range of compositions [3]. A cathodic arc can be described as a low-voltage, high-current plasma discharge between two metallic electrodes in a vacuum or in a gas environment [3,4]. To ignite the arc discharge a locally high ionization at the cathode surface is necessary, which starts thermionic electron emission by ion bombardment. This can be performed by a high voltage break down initiated by a mechanical trigger. The arc discharge is then concentrated at the cathode surface, forming non-stationary locations of extremely high current density. To support a cathodic arc a conducting medium is required which the arc itself supplies in the form of a highly ionized plasma of the vaporized electrode material, produced by an intensive interaction of the plasma with the electrodes. The arc can also be supported in combination with a gas.

The evaporation process of target material is a consequence of the very high local surface temperature in an arc spot. This creates a molten pool from which evaporation of the cathode (target) material and electron emission occurs. The electrons are attracted by an electric field and will collide and ionize evaporated atoms in the ionization zone, see Fig. 2.3. The ions will then migrate to the substrate surface where they condense and react with a reactive gas (if present) from the surrounding. The molten pool, however, also emits macro particles which have deteriorating effect on the films. Much effort within arc research today is therefore devoted to reduce or eliminate macro particles by various magnetic filtering devices [5].

Fig. 2.3 Schematic illustration of particle flux at the arc spot.[6]

There are two main advantages with arc evaporation compared to most other PVD techniques, a high degree of plasma ionization and high kinetic energy of these ions [7]. The highly ionized discharges can be manipulated by electric and magnetic fields. Furthermore, they offer the

(25)

benefit of enhanced film adhesion, density as well as high reactivity for compound film formation [8]. The ionized fraction of the emitted particles depends strongly on the cathode material with values ranging from 12-15 % for Cd and 80-100 % for Mg [9]. Also, the vacuum conditions have large influence, e.g., the ionized fraction for Ti was measured to 68 % under high vacuum conditions and 85 % for a N2 pressure between 0.1-1.5 Pa [10].

The charge state distribution (CSD) of the ions has been investigated experimentally as produced in a metal vapor vacuum arc plasma discharge [11]. Under vacuum conditions, the ions relevant for this Thesis, Ti, Si, and Al, exhibits average charge states of +2.1, +1.4, and +1.7, respectively, as was measured with time-of-flight diagnostics. The charge state fraction for these elements is shown in Table I.

Table I Charge-state fractions for metal vapor

vacuum arc plasma discharge for the elements used in this Thesis. From [11].

Charge state (%) Element 1+ 2+ 3+

Ti 11 75 14

Si 63 35 2

Al 38 51 11

Thin film synthesis is often made in the presence of a reactive gas; in this Thesis the depositions were conducted in a reactive N2 atmosphere. This can cause, e.g., nitridation of a Ti target,

which will change its melting temperature considerably, from Tm=1680 °C to Tm=3339 °C for

TiN, and results in a different behavior of the arc movement on the surface. Ref. [12] reported a lower ejection of macro-particles from the Ti target in N2 ambient compared to vacuum. Apart

from chemical reactions at the cathode surface, the gas also affects the expanding plasma. As the gas pressure increases, the mean free path decreases. This may cause a more random expansion direction of the ions, which have suffered from collisions and thus a reduced ion flux at the substrate side. Another consequence is that charge exchange collisions may occur [13], which allow for energetically favorable reactions to take place. This results in a higher concentration of ions with lower charge at the expense of ions with higher charge. Besides an increased concentration of non-metal ions the average charge state of the metal-ions will decrease [14].

In this Thesis, the difference in CSD for Ti, Si, and Al caused stoichiometry changes in the deposited films with respect to the nominal compound cathode composition. This occurs since the ions will on average retrieve different accelerations towards the negatively biased substrate. Hence, ions with higher CSD will impinge on the surface with higher energy and thus penetrate deeper into the film. This will cause preferential resputtering of the elements with lower CSD and the film will have a higher concentration of the material with the higher CSD.

(26)

'

(

When the incoming species arrive on the substrate, adatoms assemble and nucleate to form two or three dimensional islands. The islands grow larger and finally coalesce to form a film. The mobility of the arriving species determines how the coalescence will occur. Ideally, the initial stage of thin film growth can be classified into three characteristic modes [15], Fig. 2.4:

1) layer-by-layer or Frank-van der Merve 2) island growth or Volmer-Weber

3) Stranski-Krastanov, which initially is layer-by-layer followed by island growth.

Fig. 2.4 Schematic illustration of a) Frank-van der Merve growth, b)

Volmer-Weber growth, and c) Stranski-Krastanov growth.

The following sections will describe the type of growth for the thin films in this Thesis. ' %" )

Epitaxial growth can be defined as extended single-crystal film growth on top of a crystalline substrate. [15] This type of growth takes place when it is energetically favorable for the deposited species to crystallographically align with the substrate in order to minimize the total interfacial energy. There are two types of epitaxy, homo-, where the film and substrate are the same material and hetero-epitaxy where the film and substrate are two different materials. Homo-epitaxial growth often occurs in Frank-van der Merve growth mode while hetero-epitaxial growth typically occurs is Stranski-Krastanov mode. For hetero-hetero-epitaxial growth, a good lattice match between the film and substrate is a prerequisite for obtaining unstrained lattice matched growth. However, a difference in the thermal expansion coefficients and/or chemistry between the substrate and film can influence the quality of the interface. If the film and substrate do not match perfectly, which is the most common case; the deposited film can either be strained to match the substrate coherently or relaxed by introducing dislocations where possible and form a semi- or incoherent interface.

(27)

' * *

Fig. 2.5 schematically presents the progress of polycrystalline film growth. These processes include nucleation, island growth, impingement of adatoms and coalescence of islands, formation of polycrystalline islands and channels, development of a continuous structure, and film growth [16]. During the island coalescence, there is a strong driving force for grain coarsening through surface atom diffusion and grain boundary motion. Determining factors for the microstructural evolution are surface and bulk diffusion. These are mainly affected by the substrate temperature and any ion-bombardment, which can be used to enhance the ad-atom mobility.

Fig. 2.5 Schematic diagram of vapor phase deposition illustrating

fundamental growth process controlling film microstructural evolution including nucleation, island growth, impingement and coalescence of islands, grain coarsening, formation of polycrystalline islands and channels, development of a continuous structure and film growth. [17]

In order to explain the different microstructures formed with respect to substrate/melting temperature, empirical structure zone models have been developed [17] (Fig. 2.6). There are three zones where transitions occur gradually depending on the Ts/Tm ratio, see Fig 2.6. Ts stand

for substrate temperature and Tm for melting point of the material. Zone I corresponds to very

low deposition temperatures at which adatom diffusion is negligible. In Zone T, surface diffusion becomes significant, while Zone II represents film growth at deposition temperatures where both surface and bulk diffusion are operative.

(28)

Fig. 2.6 Structure zone models schematically representing

microstructural evolution of pure elemental films as a function of the reduced temperature Ts/Tm, where Ts is the deposition

temperature and Tm is the melting point of the material. From

[17].

For the case of TiN films grown by arc-evaporation, additions of Si influence the microstructure substantially as is shown in Paper I and II. The pure TiN films grow at conditions that correspond to Zone T with characteristic competitive growth and random orientation. Increasing amount of Si contributes to a gradual transition to <001> oriented fiber texture. Si has very limited solubility in TiN and strives to migrate to the grain boundaries and surfaces where it preferentially forms tetrahedrally coordinated SiNx. This effectively reduces the surface

diffusion, diffusion across grains, and grain boundary mobilities. Hence, in the case of polycrystalline Ti-Si-N film growth, Si serves as a grain refiner.

+

In this Thesis, metastable phases have been synthesized. Paper I and II deals with solid solutions where fractions of Ti in NaCl-structure TiN are substituted by Si for low Si concentrations. In the next two sections, I will describe the mechanisms which enable depositions of metastable phases.

+ , (- " !*

A low deposition temperature is preferable from an industrial point of view; the main advantage is that it allows a wider range of substrate materials with respect to their thermal stability and cost. Consequently, low-temperature growth is often far from thermodynamical equilibrium with respect to the deposition material. This induces kinetic limitations along the pathway to

(29)

thermodynamical equilibrium, which can result in the synthesis of metastable phases [16]. In industrial arc-evaporation synthesis as used in present work, temperatures 500 °C are typical.

+ - %

Ion implantation has become a highly developed tool for modifying the structure and properties of alloys [18]. The modifications constitute compositional and microstructural changes in the surface of the material, which leads to alterations in physical properties (transport, optical, corrosion, oxidation), as well as mechanical properties (strength, hardness, wear resistance). Arc evaporation provides a highly ionized plasma as discussed in section 2.3. A negatively biased substrate will attract positive metal ions which can, if their incoming energy is sufficient, cause recoil implantation and cascade-mixing. The outcome is redistribution of atoms, which generates, e.g., interstitials, vacancies, and phase mixing.

In the case of formation of metastable (Ti,Si)N and (Ti,Al,Si)N solid solutions in Paper I-IV, this is attributed to phase mixing caused by the metal ion-bombardment from the arc evaporation together with a high effective quenching rate due to the temperature difference between plasma and substrate for the arriving ions.

.

!

Thin films deposited by arc evaporation and magnetron sputtering under the conditions in the present work are expected to exhibit residual stresses caused by the deposition process and substrate. The stress state within a film is proportional to the film strain and affects other properties such as film hardness, toughness, and substrate adhesion. The total stress state of the film can be divided into internal and thermal stresses, if we assume the absence of externally caused stress sources. Internal stresses (often referred to as intrinsic stresses) can be described as all stresses that originate from the deposition process. These are strongly influenced by the film microstructure and are induced by, e.g., ion-bombardment of the surface, impurities, grain growth, and phase transformations. Thermal stresses (often referred to as extrinsic stresses) are caused by the difference in thermal expansion coefficients of the film and substrate materials or between different phases within the film.

When a stress state is analyzed by X-ray diffraction (see section 3.1.1) it is useful to divide it into macro and micro stresses. The macro stresses (also referred to as homogeneous stresses) are constant over a volume larger than the probe used for the measurement and can be calculated by measuring the film strain by the sin2 -method. Micro stresses (also referred to as inhomogeneous stresses) vary from point to point on a microscopic scale and typically occurs from the deposition process due to local variations of lattice spacing between grains due to defects or inhomogenities. These local variations in lattice spacing will be seen in X-ray diffractograms as peak broadening, or increased full width at half maximum (FWHM).

(30)

For the arc-evaporated Ti-Si-N films grown in this Thesis, the compressive stress state is expected to be large, in the orders of a few to several GPa, due to the ion-bombardment during growth, which induces incorporation of atoms with different size than the host atom. Any segregated Si atoms to the grain boundaries may react with N and produce SiNx phase with

different molar volume than TiN that will induce strain. Furthermore the quenching of the mixed state where Si atoms are dissolved in the TiN lattice also causes strain. Thermal stresses are expected to be smaller. As an example TiN with thermal expansion coefficient TiN =9.3 x

10-6 K-1 [15] and Young’s modulus, E=450 GPa and Poisson’s ration of =0.22 [19] deposited

at 500 °C on top of cemented carbide WC-(Co 6 wt.%) with WC-Co = 5.4 x 10-6 K-1 [15]

generates according to Eq. 2.1

= (E T)/(1– ) (2.1)

a tensile thermal stress ( ) of 1.1 GPa.

[1] M. Lieberman, A. Lichtenberg, Principles of Plasma Discharges and Materials Processing, 2nd Edition, John Wiley & Sons, New Jersey, USA (2005)

[2] J.R. Roth, Industrial Plasma Engineering, Volume 1: Principles, Institute of Physics (1995) [3] R.L. Boxman, D.M. Sanders, P.J. Martin, J.M. Laferty, Handbook of Vacuum Arc Science,

Fundamentals and Applications, Noyes Publications, New Jersey, 1995 [4] A. Anders, Thin Solid Films 502 (2006) 22

[5] A. Anders, Surf. Coat. Technol. 120 (1999) 319

[6] R.L. Boxman, S. Goldsmith, Surf. Coat. Technol. 52 (1992) 39

[7] V.M. Lunev, V.G. Padalka, V.M. Khoroshikh, Sov. Phys. Tech. Phys., 22 (1977) 858 [8] P.J. Martin, Vacuum, 36 (1986) 585

[9] P.C. Johnson, Physics of Thin Films, 14 (1989) 129 [10] C. Bergman, Surf. Coat. Technol., 36 (1988) 243

[11] I.G. Brown, X. Godechot, IEEE Transactions on Plasma Science, 19/5 (1991) 713

[12] P.J. Martin, D.R. McKenzie, R.P. Nettlefield, P. Swift, S.W. Filipczuk, K.H. Muller, C.G. Pacey, B. James Thin Solid Films, 153 (1987) 91

[13] B. Chapman, Glow Discharge Processes, John Wiley&Sons, New York, (1980) [14] P. Spädtke, H. Emig, B.H. Wolf, E. Oks, Rev. Sci. Instrum. 65 (1994) 3113

[15] M. Ohring, The Materials Science of Thin Films, Academic Press, San Diego, CA (1992) [16] I. Petrov, P.B. Barna, L. Hultman, J.E. Greene, J. Vac. Technol. A21(5) (2003) 117 [17] P. Barna, M. Adamik, Thin Solid Films, 317 (1998) 27

[18] G.S. Was, Progress in Surface Science 32/3-4 (1989) 211 [19] J.A. Sue, Surf, Coat. Technol. 54-55 (1992) 154

(31)

#

/

o obtain necessary information about material properties, several characterization techniques are needed. In this work, chemical, structural, thermal and mechanical properties have been investigated by the techniques described below.

#

0- *

In X-ray diffraction (XRD) an X-ray is incident on a sample and the diffracted beams are detected. The use of X-rays for thin film analysis is motivated by two main reasons: 1) The wavelengths of X-rays are of the order of atomic distances in condensed matters, which makes them useful for structural characterization. 2) X-ray scattering techniques are non-destructive to the sample under investigation. For crystalline materials, constructive interference of the scattered X-rays at certain directions results in a diffractogram. The position of the peaks, i.e., the scattering angles ( ) are given by Bragg’s law

2d·sin = n (3.1)

where d is the interplanar spacing of the diffracting plane, n an integer number, and the wavelength of the X-rays. XRD can be used to extract information on a number of thin film properties, such as crystalline structure, phase composition, residual stress state, film thickness, grain size, and crystallographic orientation, and is thus a very powerful technique.

Fig. 3.1 Schematic illustration of an X-ray diffraction setup.

T

(32)

Fig. 3.1 shows a schematic setup of XRD. The most common way to perform phase identification is to perform -2 scans, where the incident angle is equal . In this setup, only planes parallel to the sample surface are probed. The sampling depth varies with the incident angle, but is typically a few µm. Therefore, signals from both the film and substrate are recorded. Fig. 3.2(a) shows a diffractogram recorded by a -2 scan on a polycrystalline Ti0.33Al0.67N thin film deposited by arc evaporation onto cemented carbide (WC-Co) substrate.

Although the film thickness is ~4 µm, the substrate peaks are clearly visible.

Fig. 3.2 X-ray diffractograms from a Ti0.33Al0.67N thin film deposited by arc evaporation on a WC-Co substrate.

(a) displays an ordinary -2 diffractogram and (b) a grazing incidence diffractogram with incidence angle 4°. The film peaks are indexed.

In order to minimize the substrate influence when measuring polycrystalline thin films, grazing incidence XRD (GIXRD) can be used. In this method the angle is kept fixed at a low angle, typically 1-5°, probing a large area of the sample and only the detector is scanned, i.e., only the 2 angle is varied. In this way, the sampling depth is restricted (depending on the angle) and the intensity of the Bragg peaks from the substrate is reduced, compare Fig. 3.2(a) and (b).

# !

-Film strain can be measured by using the X-ray diffraction sin2 -method, which assumes a

biaxial stress mode. According to the thin film approximation, no forces act on the edges or the top surface, but only at the film to substrate interface. This leaves us with only in-plane stress, which accounts for the stress state of the whole film. The residual stress in a thin film can be determined by using the sin2 -method and the following expression:

0 1 ) 1 ( φ φ ν σ d E m + ⋅ = (3.2)

(33)

where m is the slope which is calculated by a linear curve fit to the obtained data points in a d versus sin2 plot, see Fig. 3.3.

0

φ

d is the lattice spacing when =0 (d ). E and are the φψ

isotropic material constants Young’s modulus and Poisson’s ratio, respectively. The derivation of this in-plane stress expression can be found in, e.g., Noyan et al. [1] or Birkholz [2].

Fig. 3.3 d-sin2 plot for (Ti

0.96Si0.04)N1.02, from the 422 peak

which exhibits a compressive stress state as seen from the negative slope.

In the sin2 -method, preferably a high-angle Bragg reflection is chosen for randomly oriented

polycrystalline materials. The chosen peak should be scanned with high resolution in the /2 mode for various tilts, starting with =0. The strain in the film will induce different peak shifts depending on the tilting angle . In order to check for off-diagonal components (shear stresses) in the stress tensor, the sample is tilted in both positive and negative -angles. For TiN and cubic TiN-based compounds the 422 peak is commonly chosen since a high 2 -angle will exhibit larger peak shifts induced from the bi-axial strain and thus give higher accuracy for the measurement. For nanocrystalline materials, however, the peak intensity of a high-angle peak may be too low to accurately determine the peak position and, hence, a lower angle peak has to be chosen. This will increase the error for the measurement.

In order to utilize Eq. 3.2, the elastic isotropic material constants E and have to be known for the material under investigation; this is, unfortunately, not always the case. There exist few reliable values for ternary (or multinary) nitrides like Ti-Si-N. In Paper II, E=450 GPa and

=0.22, i.e., the TiN values according to [3] were assumed. Other issues which have to be taken into account are texture anisotropy and stress gradients. This will affect the shape of the d versus sin2 plot. However, the sin2 -method gives a first estimate of the in-plane stress.

(34)

#

%

"*

In electron microscopy high energy electrons, usually 1-300 keV, hit a sample, which causes different interaction processes to occur in the so-called excitation volume, see Fig 3.4. The size and shape of the excitation volume depends on the energy of the incoming electrons and the material of the sample. When the electrons interact with the material, they may undergo a range of different elastic and inelastic collisions, which can be used for various analyses, both structural and chemical, as will be described in this chapter.

Fig. 3.4 The excitation volume and what phenomena that

occurs when electrons interacts with a sample.

The advantage of using an electron beam instead of light as in light optical microscopes (LOM), is better resolution (d) obtained due to the much shorter wavelength according to Rayleigh’s criterion: β λ sin 61 . 0 ⋅ = n d (3.3)

where n is the refractive index of the viewing medium, the opening angle through which sample information can be collected by the magnifying lens. In practice eq. 3.3 limits the resolution to ~200 nm for LOM. For electron microscopes the wavelength of the electrons is proportional to the acceleration voltage according to the dispersion law and de Broglie’s wavelength (non-relativistic) 2 / 1 ) 2 ( mE h = λ (3.4)

(35)

where h is Planck’s constant, m is the electron mass, and E is acceleration energy of the electron, which is proportional to the acceleration voltage. For the best transmission electron microscopes, a resolution of less than 1 Å can be obtained.

# ! % "*

In terms of simplicity and performance, scanning electron microscopy (SEM) is a very useful microscopy technique which is employed in many laboratories both in industry and research. The resolution (~2 nm) is several orders of magnitude better than for LOM. Moreover, SEM utilizes smaller apertures compared to LOM, which results in a larger depth of field.

As the name implies, in SEM an electron probe is scanned over a surface. When the electrons interact with the probed material several processes will occur in the excitation volume, see Fig. 3.4. The depth of the excitation volume, typically a few µm, depends of the acceleration of the electrons, which normally ranges from 1 to 30 keV. The secondary electrons are used for topographical imaging. Elastically back-scattered electrons are suitable for obtaining Z-contrast (elemental contrast) since the number of back-scattered electrons depend on the atomic number. The interaction between electrons and matter also produces X-rays, which can be used for determination of chemical composition by energy dispersive X-ray spectroscopy (EDX), see section 3.4.1.

# % "*

Transmission electron microscopy (TEM) is an invaluable analysis technique for thin films because of its ability to provide a physical image, chemical information through spectroscopic techniques, and crystallographic information via electron diffraction patterns. A TEM is in many ways similar to a light optical microscope. Both types are built up with an illumination and an image part, where the first illuminates the sample and the second focus the beam to the detector. In TEM, however, electrons instead of photons are irradiating the sample. Since electron scatters very quickly in air, the beam path including the sample has to be under vacuum. Furthermore, electromagnetic lenses are needed to bend the electron beams. Electrons accelerated with 200 kV correspond to a wavelength ( ) of 2.5 pm, which is several orders smaller than for visible light (~400-750 nm), the outcome is superior resolution, c.f., Eq. 3.3 and 3.4.

The electrons in TEM are accelerated by typically a few hundred kV and directed through an electron transparent specimen, i.e., < 100 nm thick. The electrons interact with the sample and are scattered in different ways depending on the structure of the sample, see Fig. 3.5. Subsequently, the scattered electrons will arrive at a detector, usually a fluorescent screen, CCD camera or an analogue camera, where the image is obtained.

The incoming electron beam is diffracted in similar way as X-rays and builds up an electron diffraction pattern in the back focal plane, see Fig. 3.6. This pattern stems from coherently scattered electrons (Fig. 3.5) due to constructive interference according to Bragg’s law. In order to study crystallographic information from a certain area, a selected area aperture

(36)

can be introduced, which blocks the electrons from reaching other parts of the sample. This is called selected area electron diffraction (SAED).

To obtain a grayscale image in the TEM, the electron beam must interact with the sample and provide contrast. When the electrons reach the sample they can either be transmitted, absorbed, scattered or backscattered. In the TEM, transmission and scattering (Fig. 3.5) are the most important interaction events; absorption is negligible for thin specimens. Scattering can be

Fig. 3.5 Different scattering mechanisms present in TEM.

both elastic and inelastic, for crystalline materials, elastic scattering is the most important since they provide contrast to the image.

Elastic scattering occurs when electron collides with nuclei or other electrons in the specimen. The contrast is an effect from irregularities in the crystal structure, such as grain boundaries or lattice defects. If we allow all electrons, scattered and transmitted, to reach the image plane, the resulting image will typically have little contrast. To overcome this, an aperture can be introduced in the back focal plane of the objective lens where the diffraction pattern is built up as spots to block parts or all of the diffracted beams and thus obtain bright-field diffraction imaging. Moreover, dark-field diffraction imaging is obtained by blocking all spots except one or more diffracted spots, see Fig. 3.6.

(37)

Fig. 3.6 Three examples of imaging modes, bright field mode with transmitted plus diffracted beam with little

contrast (left), bright field mode with only transmitted beam with better contrast since the diffracted beam is blocked (middle), and dark field mode where only the diffracted beam is used (right).

Fig. 3.7 Plan-view HRTEM image of a (Ti0.91Si0.09N)1.04 film

after annealing to 1000 °C for 2 h, c.f., paper II. The film is polycrystalline with nanocrystallites of TiN:Si. The middle grain is oriented on the [001] zone axis, while the other grains have no zone-axis parallel to the optical axis.

High-resolution (HR) imaging in TEM allows for direct observations of the crystal structure, see Fig. 3.7. To obtain a high-resolution image both the transmitted and several diffracted beams are necessary. The image then arises from the interference in the image plane from the scattered and non scattered electrons. However, it is not always possible to interpret the lattice images directly in terms of sample structure or composition. This is because the image is sensitive to a number

Bright Field Image

Little Contrast Bright Field Image Better Contrast Dark Field Image Best Contrast Specimen

Lens Aperture Back Focal Plane

(38)

of factors such as specimen thickness, orientation, objective lens defocus, spherical and chromatic aberration. The TEM specimen thickness is crucial for interpretation of nanocrystalline materials and in particular nanocomposites where the tissue phase exhibits strong curvature in combination with the small grain-size which might cause overlap.

# # ! % "*

In scanning transmission electron microscopy (STEM), the electron beam is focused to a small nm-size probe, which scans across the specimen. The information collected from each point from the specimen is detected, amplified and a proportional signal is displayed as a grayscale image. Sample scanning and small probe size open up the possibility of microanalysis employing spectrum profiles in spectroscopic techniques such as energy dispersive X-ray analysis (EDX), and electron energy-loss spectroscopy (EELS), Fig. 3.8. Similar to TEM, STEM can be operated in bright-field or dark-field mode, but rather than using a circular aperture, an annular dark-field detector (ADF) is usually employed. In order to enhance the Z-contrast in the image, i.e., to collect mainly incoherently scattered electrons, either the camera length (i.e., the effective distance between the specimen and the detector plane) must be reduced and/or a high-angle annular dark-field detector (HAADF) inserted in order to increase the detection angle. All STEM images in this Thesis were obtained using a HAADF detector.

Fig. 3.8 Schematic of the different information that can be obtained in STEM mode.

# ' ! " "

In order to achieve electron transparent specimens (thickness less than ~100 nm) for TEM and STEM, elaborate sample preparation is needed.

(39)

The traditional and most common way to prepare cross-sectional specimens of thin films for TEM is to cut out pieces which are clamped together film-to-film in a Ti-grid. The Ti-grid has a diameter of 3 mm in order to fit the TEM specimen holder. The sample thickness is reduced by mechanical grinding and polishing down to ~50 µm, followed by ion milling until electron transparency is achieved. The plan-view samples used in this Thesis were prepared by cutting out a 3 mm disc from the sample using an ultrasonic disc cutter. This is followed by similar mechanical grinding and ion-milling as for the cross-sectional sample until electron transparency is obtained. [4]

A relatively new technique which can be used for preparation of electron transparent specimens is the focused ion beam (FIB). The FIB is usually combined with SEM into one instrument and has the main advantages of a very high precision of preparing a TEM specimen from a selected area of the sample and the ability to cut through extremely hard materials, which are hard to machine with other techniques. Instead of using electrons, like in SEM, for probing the sample, heavier Ga ions (5-50 keV) are used, which makes it possible to mill material at a reasonable rate and hence perform sample treatments of various kind. Langford et al. [5] described a technique to prepare cross-sectional TEM-samples using FIB, the so-called “lift-out” technique. The location of where the TEM sample is going to be cut out is determined in the SEM. When the area is chosen the film is protected from the ion-beam by deposition of a Pt layer. This is followed by milling two trapeziums on each side of the Pt-strip, see Fig. 3.9(a). The window is then welded to a Cu-grid via introduction of a micro manipulator needle (see Fig. 3.9(b). On the Cu-grid, the final thinning and polishing takes place until electron transparency is achieved.

Fig 3.9 a) Scanning electron micrograph after the initial milling on each side of the Pt strip, and b) focused ion

beam micrograph of the micromanipulator needle welded to the thinned window. The sample is a Ti0.83Si0.17N film

deposited onto a sintered c-BN turning insert. C.f., Paper III.

# #

!

"*

Scanning tunneling microscopy (STM) delivers an image of a solid surface by moving a sharp conductive tip in a very precise manner across the sample surface and recording the electron tunneling current between the tip and sample as a function of position. Its development in 1981 won its inventors, Gerd Binnig and Heinrich Rohrer, the Nobel Prize in Physics in 1986 [6].

(40)

As the tip is rastered across the surface, the density of states of the material and therefore the tunnel current changes. In addition to structural characterization, information of the electronic structure of the sample can be obtained by sweeping voltage and measuring current at a specific location. This type of measurement is called scanning tunneling spectroscopy (STS).

The tip edge ideally consists of only one atom in order to provide atomic resolution. Tunneling is a quantum mechanical effect in which electrons from one conductor penetrate through a classically impenetrable barrier (for STM, vacuum) into a second conductor. The phenomenon arises from the leaking of the respective wave functions into the vacuum and their overlap within classically forbidden regions. This overlap is significant only over atomic-scale distances and the tunnel current depends exponentially on the distance between the conductors.

Fig. 3.10 STM images and LEED patterns from SiNx deposited on a TiN(001) surface from (a) ~0.32 ML average

SiNx surface coverage, which generates a 1x5 reconstruction with rows along the <110> directions and (b)

~0.50 ML average SiNx surface coverage, the rows are randomly distributed along the <110> directions as seen

from the streaks in the LEED pattern.

Since an image of the surface is obtained in STM, the analysis has to be carefully performed, keeping in mind that there is always a risk for unwanted features, like for instance, double tip images, vibrations, and electrical noise. A double tip image can be formed when the tip picks up contamination from the sample surface. The image created from a double tip will contain doublets of the true surface features. To complement for STM investigations, low-energy electron diffraction (LEED) is commonly used. LEED works as electron diffraction in the TEM but only the top layers are probed, which makes it suitable for surface analysis studies.

Fig. 3.10 shows to STM images from sub-monolayers of SiNx deposited on TiN(001)

surfaces, which are described more in Paper V and VII. The LEED pattern in Fig. 3.10(a) reveals a 1x5 reconstruction corresponding to an average SiNx surface coverage of ~0.32

(41)

monolayers. However, an increased average coverage to ~0.50 ML (Fig. 3.10b) results in lost repetition of the structure and the LEED pattern shows streaks. The exact atomic structure of the surface in (a) can not be revealed by STM since the density of states, i.e., not the atoms, are probed. Hence, complementary studies in terms of density functional theory calculations are needed.

# '

$

*

# ' % * " & 0- * !" "*

When an electron beam in SEM or TEM/STEM interacts with the sample X-ray photons will be emitted from the sample (Fig. 3.4 and 3.5). Each element will yield characteristic energies or wavelengths of the X-rays. The main advantage with energy dispersive X-ray spectroscopy (EDX, also referred to as EDS, EDXS or XEDS) is the possibility of a fast qualitative determination of the elements present in a sample. Furthermore, elemental mapping in SEM and STEM can be performed in order to obtain information about the distribution of different elements in the sample. The spatial resolution is determined by the size of the excitation volume from where the X-rays are created, see Fig. 3.4 and 3.5. Best spatial resolution is therefore obtained for very thin samples, i.e., in STEM. The energy resolution is around 120 eV.

Light elements, such as N, are difficult to quantify since the window, typically of Be, that protects the detector from overloading filters out low energies. Moreover, overlap between the spectral lines for different elements are common. In the case of Ti-Si-N, Ti and N exhibit overlap for the L and K lines, which also will affect the accuracy of the measurement.

# ' % % *-, !" "*

The inelastic electron scattering (see Fig. 3.5) is of great importance for analytical TEM. In the interaction between an incident electron and a subshell electron, the incident electron may excite the atom, leaving a hole in the subshell. Depending on which subshell the excited electron originates from, the incident electron loses a minimum amount of energy. This is the basis for electron energy loss spectroscopy. The atom may return to its ground state by filling the hole with an outer shell electron. This transition is usually accompanied by the emission of an X-ray photon (basis for EDX). In electron energy loss spectroscopy (EELS), the transmitted electrons through a thin specimen foil are dispersed due to their energy loss from inelastic scattering processes. Depending on the thickness of the foil and the mean free path of the material, different number of scattering will occur when the electrons traverse the specimen. The fewer scattering events, the easier interpretation of the EELS spectrum will be. The energy resolution in EELS is very good, 0.2-2.0 eV (EDX >100 eV), which gives little peak overlap, and thus enables analysis of the fine structure. By using the information obtained from electron energy loss near edge structure (ELNES) from 30-40 eV from the ionization edge, and extended energy

References

Related documents

Paper 1 presents the formation and appearance of compositionally and structurally modulated layers in Ti-Si-C thin films, originating from substrate rotation in

Department of Physics, Chemistry and Biology (IFM) Linköping University.. SE-581 83 Linköping,

so called breakdown of the medium separating the conductors. Arcing is a severe hazard in electrical installations, often caused by insulation failure, but can

Linköping Studies in Science and Technology Licentiate

Department of Physics, Chemistry, and Biology (IFM) Linköping University,

The mixing enthalpy and the driving force for spinodal decomposition in c-Ti-Cr-Al-N is calculated based on first principle calculations. The mixing enthalpy strongly depends on

Mechanical properties and thermal stability of reactive arc evaporated

Perovskites, with the Strukturbericht designation E2 1 , comprise a large family of ternary phases, where oxygen occupies octahedral interstitials of a body centered cubic metal