• No results found

Manipulation of thin metal film growth on weakly-interacting substrates using gaseous surfactants

N/A
N/A
Protected

Academic year: 2021

Share "Manipulation of thin metal film growth on weakly-interacting substrates using gaseous surfactants"

Copied!
88
0
0

Loading.... (view fulltext now)

Full text

(1)

Master of Science Thesis in Applied Physics

Department of Physics, Chemistry and Biology, Linköping University,

2019

Manipulation of thin metal

film growth on

weakly-interacting

substrates using gaseous

surfactants

LITH-IFM-A-EX–19/3733–

SE

(2)

gaseous surfactants LITH-IFM-A-EX–19/3733–SE

Martin Konpan

Supervisor: Andreas Jamnig,

IFM, Linköpings Universitet

Examiner: Kostas Sarakinos,

IFM, Linköpings Universitet

Nanoscale Engineering Divison

Department of Physics, Chemistry and Biology Linköping University

SE-581 83 Linköping, Sweden

(3)
(4)
(5)

Abstract

Thin films are structures with thicknesses ranging from the atomic scale to the mesoscale that are used to alter the properties of a surface and/or serve as func-tional layers in devices. Thin metal films deposited from the vapor phase on weakly-interacting substrates, including oxides (TiO2, ZnO, SiO2 etc.) and

two-dimensional (2D) materials (graphene, MoS2, etc), are relevant for a wide array of

technological applications, such as optical devices, nanoelectronic components, sensors, and catalytic devices. The weak interaction between deposit and sur-face in these film/substrate combinations leads to three-dimensional (3D) metal-layer morphological evolution in an uncontrolled manner; which often consti-tutes an important challenge toward integrating metal layers in key enabling de-vices. Thus there is a need for efficient growth manipulation strategies, such that metal films with controlled 3D and 2D microstructures and morphologies can be synthesized.

Surfactants, i.e., minority metal, non-metal, and gaseous species which are deployed to the growing surface together with film-forming species, have been shown to enable growth manipulation in a multitude of homo- and heteroepitax-ial metal/metal and semiconductor/semiconductor systems. This work explores the viability of N2 and O2 surfactants to manipulate growth in model

weakly-interacting Ag/SiO2and Au/SiO2systems.

Au and Ag are deposited by direct current (DC) magnetron sputtering on Si substrates covered with a 500 nm thick thermally grown SiO2 layer. Gaseous

N2 and O2 surfactants are introduced to the sputtering atmosphere either

con-tinuously during deposition or at well-defined points during growth, such that specific film-formation stages as targeted. Using a combination of in situ/real-time diagnostic tools and ex situ characterization techniques, it is shown that O2

and N2cause Ag and Au, respectively, to grow flatter, i.e., 2D growth morphology

is promoted. Moreover, by deploying surfactants selectively during early or late film growth stages and studying their effect on film morphological evolution, it is concluded that N2 and O2 effectively suppress the rate of island coalescence

promoting formation of flatter films.

The overall results of this study are the first step toward establishing an atomic-scale understanding of the effect of surfactants on morphological evolution of metal films on weakly-interacting substrates. The knowledge generated herein is relevant for designing growth manipulation strategies in a wide range of techno-logically important film/substrate systems.

(6)
(7)

Acknowledgements

I would like to extend my thanks to my examiner Kostas Sarakinos, my supervi-sor Andreas Jamnig, and my unofficial supervisupervi-sor Nikos Pliatsikas for their help throughout this project. I would also want to thank my wonderful girlfriend Britta for supporting me.

Linköping, May 2019 Martin Konpan

(8)
(9)

Contents

Notation xi

1 Introduction 1

1.1 Motivation and state-of-the-art . . . 1

1.2 Research goal and strategy . . . 2

1.3 Thesis outline . . . 2

2 Thin film growth 5 2.1 Surface diffusion . . . 6 2.2 Interlayer transport . . . 7 2.3 Nucleation . . . 9 2.4 Coarsening . . . 12 2.4.1 Coalescence . . . 12 2.4.2 Ostwald ripening . . . 13 2.4.3 Smoluchowski ripening . . . 13 2.5 Roughness . . . 13 2.6 Growth transitions . . . 14

2.7 Growth manipulation by surfactants . . . 16

3 Introduction to optical properties of solids 17 3.1 Maxwell’s equations and the dielectric function . . . 17

3.1.1 Plane waves and polarized light . . . 19

3.2 Description of dielectric function in view of dispersion models . . 19

3.2.1 Lorentz model . . . 19

3.2.2 Drude model . . . 21

4 Methods 23 4.1 Magnetron Sputtering . . . 23

4.2 Spectroscopic Ellipsometry . . . 24

4.3 Scanning Electron Microscopy . . . 25

4.4 X-Ray Diffractometry . . . 26

4.5 X-Ray Reflectometry . . . 28

(10)

5 Experimental strategy and procedures 31

5.1 Thin film deposition . . . 31

5.2 Film morphological evolution . . . 33

5.2.1 Ag . . . 33

5.2.2 Au . . . 34

5.3 Ex situ film morphology . . . 35

5.4 Crystal structure . . . 38

6 Results and Discussion 39 6.1 Effect O2on Ag growth . . . 39

6.1.1 Film morphological evolution (spectroscopic ellipsometry) 39 6.1.2 Film morphology and surface topography (SEM and XRR) . 42 6.1.3 Crystal structure . . . 49 6.1.4 Discussion . . . 52 6.2 Effect of N2on Au growth . . . 52 6.2.1 Ellipsometry . . . 53 6.2.2 Ex situ morphology . . . 54 6.2.3 Crystal structure . . . 62 6.2.4 Discussion . . . 65

7 Conclusions & Future Work 67 7.1 Final Conclusions . . . 67

7.2 Future Work . . . 68

(11)

Notation

Abbreviations

Abbreviation Description rg Reactive Gas

xrd X-Ray Diffractometry pvd Physical Vapor Deposition xrr X-Ray Reflectometry

sem Scanning Electron Microscopy ml Monolayer

fwhm Full Width at Half Maximum

lspr Localized Surface Plasmon Resonance ar Aspect Ratio

tem Transmission Electron Microscopy

(12)
(13)

1

Introduction

1.1

Motivation and state-of-the-art

Thin films are integrated into almost every part of our daily life [1, p. 1-2, 15-21] as they are used to alter the surface properties of a bulk material. Films are also included in a wide array of devices, e.g. nanoelectronics [2], optoelectronics [3], sensors [4], and energy-saving windows [5]. Thin films can be produced in a multitude of ways, but in this work the focus will be on a physical vapor tech-nique (PVD) called magnetron sputtering. This techtech-nique employs ionized gas (plasma) near a target surface to sputter atoms from the target to a substrate. The model film/substrate system used in this work is metals on weakly-interacting SiO2; metal on weakly-interacting substrate systems usually grow in an

uncon-trolled 3D fashion [6][7]. The driving force behind this can be explained from the view point of the thermodynamic classification proposed by Bauer [8], where the adsorption energy of the metals on the weakly-interacting substrate is smaller than the bulk metal binding energy, thus the metal atoms preferentially bind to each other [6][7]. This 3D growth is problematic when the film is used in appli-cations that need a two-dimensional (2D) film morphology, e.g. energy-saving windows and nanoelectronics. It is therefore of great significance to understand the underlying mechanisms that affect film morphology.

Most deposition techniques operate under far-from-equilibrium conditions, therefore the thermodynamically predicted film morphology and microstructure may not be the ones which are acquired. The growth morphology then becomes more dependent on the kinetics of atomic-scale mechanisms [1][9]. The kinetics that govern the film morphology of metal-on-metal homoepitaxial systems are well studied [10], and have given rise to studies of growth manipulation using minority metal and gaseous species [11][12][13] (surfactants) deposited simul-taneously with the film. These studies opened up a pathway to alter between

(14)

3D and 2D film morphology for metal-on-metal homoepitaxial systems, and the same has been done for metal-on-metal heteroepitaxial systems [14] as well as for semiconductors [15]. Currently, the mechanisms that govern morphological evo-lution of metals on weakly-interacting substrates are not fully understood, while only some phenomenological studies in which surfactants are used to manipu-late growth in weakly-interacting film/substrate systems exist in the literature [16][17]. Further studies are needed to fully understand the impact of surfac-tants on film morphology for metals on weakly-interacting substrates, and the objective of this work is to contribute towards bridging this gap in knowledge.

1.2

Research goal and strategy

The overall goal of this work is to expand the theoretical foundation of growth manipulation. A narrower description is to investigate the effects of surfactants on film growth for metals on weakly-interacting substrates. These effects were studied by depositing Au and Ag films by DC magnetron sputtering onto Si sub-strates covered with 500 nm thermally grown SiO2, while gaseous surfactants

were introduced either continuously or at certain points during growth. These points were chosen to see the effects of surfactants during the early nucleation and coalescence regimes as well as on post-coalescence growth. Samples were characterized both in situ during growth and ex situ using several techniques. This work is based on previous work within the Nanoscale Engineering Division where the growth morphology of Ag was studied in the presence of N2 [18]. In

the present work, Ag layers are found to grow flatter in the presence of surfac-tants and that O2 suppress coalescence while enhancing nucleation, leading to

a flatter film. The results on Au with N2 are analogous to what was found in

[18], where the presence of N2 affects early stages of growth by suppressing

co-alescence and promoting island nucleation, thus driving the film towards a 2D morphology; but its presence at the later stages promotes 3D growth. For both samples, when the gases are introduced during the early stages, the film resis-tivity remains unchanged, i.e. the electrical properties are not affected by the surfactants.

1.3

Thesis outline

An introduction to the theory behind film growth and optical properties are found in Chapters 2 and 3, respectively. Chapter 2 focuses on 3D growth and the kinetics rather than the thermodynamic description, while both are introduced. Key aspects of optical properties of solids as introduced in Chapter 3, including a brief description of the Maxwell’s equations and the concept of dielectric func-tion. Chapter 4 provides an introduction to in situ and ex situ thin-film charac-terization techniques used in this work. This is followed by Chapter 5 where the experimental strategy for sample deposition is presented as well as how other techniques were utilized for film characterization in this work. Chapter 6 con-tains all the results from this work as well as a discussion about them. Finally, all

(15)

1.3 Thesis outline 3

results and the discussion are summarized in Chapter 7 and suggestions for fu-ture work are given there as well. The theory has been limited to what the author deemed an appropriate amount and depth.

(16)
(17)

2

Thin film growth

The qualitative stages during metal film growth on weakly-interacting substrates are intuitive to understand and common with all film/substrate systems. Initially, atoms are deposited onto a surface and adsorb, i.e. become adatoms; the adatoms can then diffuse on the surface until they impinge on another adatom, binding to it, thus creating a dimer. Several atoms bound to each other is called a cluster, or an island. This process occurs at many places on the surface, generating several clusters. The islands grow larger and larger as more and more adatoms impinge on it, and eventually two islands will impinge on one-another, starting to coalesce. Eventually all islands have grown large enough to be in contact, i.e. little of the substrate is exposed. Atoms deposited during this time diffuse and contribute to hole-filling between the islands, and this eventually creates a continuous film. Every stage in this description is dependent on the growth conditions, i.e. tem-perature, deposition rate, sample crystal structure, etc. which need to be taken into account when synthesizing thin films.

Bauer developed a model for epitaxial growth [8] which is also used for clas-sifying the morphology of polycrystalline films, since epitaxy occurs locally for individual grains. The description proposed by Bauer was based on thermody-namics and used the surface free energies γs, γf, and γi, of the substrate, the

de-posit, and the interface, respectively. Considering the surface free energies, three distinct growth modes can be described, Frank-van der Merwe (layer-by-layer) growth, Volmer-Weber (island or 3D) growth, and Stranski-Krastanov growth, il-lustrated in Figure 2.1. During early stages of Volmer-Weber growth isolated islands form on the substrate surface, while Frank-van der Merwe growth can be distinguished by flat layers forming successively on top of one-another; Stranski-Krastanov is characterized by a transition from layer-by-layer to island growth.

(18)

Figure 2.1:Schematic images of (a) Volmer-Weber growth, (b) Frank-van der Merwe growth, and (c) Stranski-Krastanov growth for different coverages Θ in terms of number of monolayers (ML) deposited.

Based on the surface free energies, the condition for Volmer-Weber growth can be defined as

γs< γf + γi, (2.1)

i.e. the total energy of the film/substrate system can be minimized by expos-ing the maximum amount of substrate surface area. Condition (2.1) can be un-derstood as deposit atoms interacting more strongly with each other compared the film-substrate interaction strength. The focus of this work is such weakly-interacting metal film/substrate systems that exhibit 3D growth.

This thermodynamic description is based on deposition being a near-equilibrium process, but many film synthesis techniques are far-from-equilibrium processes, including magnetron sputtering which is used in this work. As such, Bauer’s classification does not give an accurate description of the film morphological evo-lution nor of the atomistic processes of which it happens; however, it does give a broad picture of the overall asymptotic interplay between growth conditions and film microstructure. For a complete image of what happens during deposition, kinetic contributions need to be taken into account as well [10, p. 8–10]. These are described in the following chapters, beginning with surface diffusion.

2.1

Surface diffusion

After an atom has been deposited onto a substrate, it experiences a landscape of varying potential energy and diffuses on the surface while trying to minimize its energy. This diffusion can be regarded as a two dimensional random walk initially, and the distance, r(t), the adatom moves in the time t can be expressed

(19)

2.2 Interlayer transport 7

as

D

(r(t) − r(0))2E= νl2t = 4Dt, (2.2) where ν is the jump rate, l2 is the mean square distance travelled during one jump, and D is the surface diffusion coefficient. The surface diffusion coefficient and the jump rate are given by

D = 1 4l 2v, (2.3) v = v0exp(− ED kBT ), (2.4)

respectively, where v0 is the attempt frequency, kB is the Boltzmann constant,

and EDis the surface diffusion barrier, i.e., the energy barrier that an atom has to

overcome to diffuse between two adsorption sites. The pre-factor1

4in (2.3) is due

to the atoms moving in only two dimensions. Combining (2.3) and (2.4) gives [10, p. 16–18] D = 1 4l 2v 0exp(− ED kBT ). (2.5)

Diffusivity can therefore be expected to increase with increasing T , and decrease with increasing ED

2.2

Interlayer transport

During the formation of a thin film, several layers of atoms, separated by (mono-atomic) steps, are present on the surface. The ability of adatoms to transverse these steps plays an important role in the film morphological evolution. Several ways for this interlayer transport can be imagined, two of them are diffusing down over the edge from the top of a terrace (flat surface in film growth direc-tion), and an atom on top of a terrace using an exchange process where an un-derlying edge atom is pushed away and the top atom takes this atom’s place. As previously mentioned, whenever an atom diffuses over the underlying surface it will need to overcome an energy barrier ED. This however changes whenever the

atom arrives at the edge of a layer, where it will experience the so called step-edge barrier ESE, which can be defined as [19][20]

ESE = ED+ ∆EES, (2.6)

where ∆EESis the Ehrlich-Schwoebel barrier. An illustration of this effect is given

in Figure 2.2. The Ehrlich-Schwoebel barrier arises as atoms diffusing over the edge of a terrace need to break a bond with underlying atoms. The energy land-scape for a terrace with a step is illustrated in Figure 2.2. Note that there is an increased energy barrier at the step edge, as well as a deeper potential-energy well at the kink position. The effects of the step-edge barrier can be understood by considering epitaxial growth. If the atom’s energy, E, is larger than both ED

and ESE, as well having a diffusion length larger than the terrace length, it will

(20)

Figure 2.2:A physical representation of atoms diffusing on a surface with a step on the top, and the potential landscape they experience on the bottom. Both ED and ∆EES is indicated in the figure. Note the change in potential

energy near the step edge.

a step-flow growth. If on the other hand the energy is larger than ED and ESEbut

the diffusion length is shorter than the terrace length, then layer-by-layer growth will occur. For ED < E < ESE mounds will form on the substrate, generating a

3D-growth due to atoms not being able to cross the step-edge barrier. When the energy of the atom is lower than both ED and ESE, all the atoms will stick where

they land, which results in so called statistical growth [10, p. 197-199]. As this thesis treats metal growth in weakly-interacting substrate systems, it is of value to mention how such systems generally grow. For metal deposited onto a weakly-interacting substrate, the metal atoms are bound more strongly to each other than the substrate, which generates a 3D-growth described in (2.1) and in Figure 2.1 (a). This however can be altered with the use of adsorbates on the surface, which will be treated in section 2.7.

When 3D islands grow larger, there is an additional mechanism to facilitate 3D growth. That is, sidewall facets form along which exhibits flat surface (ter-race) diffusion barriers rather than an ES barrier [21]. This promotes 3D growth as the mass transport is accelerated on faceted islands when compared to crossing the traditional ES barrier. A schematic illustration of accelerated mass transport can be seen in Figure 2.3

(21)

2.3 Nucleation 9

Figure 2.3:Schematic of facet diffusion promoting mass transport to the top of the island. Figure courtesy of K. Sarakinos [21].

2.3

Nucleation

During deposition using physical vapor deposition, including magnetron sput-tering, atoms being deposited can be considered as a supersaturated gas, and the nucleation at the surface can be considered as formation of a condensed phase from the vapor phase [10, p. 25]. Once an atom has arrived on a surface, depend-ing on its energy it will either desorb and leave the surface or adsorb, becomdepend-ing an adatom, and diffuse on the surface. Eventually, the atom binds to an existing cluster, or forms a new cluster, i.e. impinges on another atom and form a dimer. This dimer either has more atoms attached to it or it dissociates. A cluster can be considered stable once it exceeds a critical size i∗. If the cluster is equal to, or smaller than, i∗ it will dissociate after a period of time and the diffusion pro-cess is resumed for each atom. These propro-cesses are the basis for the statistical nucleation theory. The following derivation is based on [10, p. 25–29] (unless otherwise stated), and has been verified through obtaining the same results from [22].

Assuming all clusters are immobile, i.e. only adatoms can move on the surface, the net rate for which clusters of size i + 1, Γiare formed, can be defined as

Γi = σiDn1niγi+1ni+1, (2.7)

where σiis the capture number for clusters of size i, which accounts for the ability

to capture an adatom; γiis the rate at which adatoms detach from a cluster of size

(22)

then be used to formulate the change of cluster density as

dni

dt = Γi−1− Γi (i ≥ 2). (2.8)

Assuming atoms do not desorb from the surface, i.e. we are in the complete condensation regime [23, p. 153], then the adatom formation rate equation can be formulated as dn1 dt = F − 2Γ1− X i≥2 Γi, (2.9)

where F is the deposition rate, i.e. the number of atoms deposited per unit area and time. The complete condensation regime is applicable because the method for deposition in this thesis is sputtering at low temperatures (e.g. room temper-ature). To simplify these equations it is assumed that stable clusters do not decay, and that detachment of adatoms from unstable clusters is fast enough to arrive at thermodynamical equilibrium. The total density of stable clusters, N, can be formulated as N = ∞ X i=i+1 ni. (2.10)

If (2.8) and (2.10) are combined,

dN

dt = σiDn1ni∗ (2.11)

is obtained as the change of density of stable clusters. The assumption that the unstable clusters arrive at thermal equilibrium allows for the formulation of a balance relationship between concentrations of unstable clusters and adatoms known as the Walton relation [24]

ni(Ωn1)iexp(

Ei

kBT

) (2 ≤ i ≤ i), (2.12) where Ω is the area of an adsorption site, Ei is the binding energy of a cluster of

size i, and T is the temperature. The left side is thus the probability that a cluster of size i exists on an adsorption side, and (Ωn1)i is the probability of finding i

adatoms at adjacent sites. Furthermore, to the average capture number for stable islands is σ = N−1 ∞ X i=i∗ +1 niσi. (2.13)

Combining equations (2.10) and (2.12), equation (2.9) can be written as

dn1

dt = F − σiDn1ni∗−σ Dn1N . (2.14)

The solutions for (2.11) and (2.14) indicate two nucleation regimes, the transient nucleation regime, where the second and third term on the right hand side are

(23)

2.3 Nucleation 11

negligibly small, for the early stages of growth, and the steady-state regime where the adatom density can be determined by

n1≈

F

Dσ N. (2.15)

This result can be inserted in equations (2.11, 2.12) which are then integrated by separation of variables, which yields

ˆ N ≡ ΩN ≈ η(Θ, i∗)(Ω 2F D ) ii∗ +2exp( Ei(i+ 2)kBT ), (2.16)

where ˆN is the number of clusters per adsorption site, η(Θ, i

) = [(i

+ 2)]σiσ(i

+1)Θ]1/(i∗+2), and Θ is the total coverage. The important

thing to take note of here is the scaling behaviour for the equation,

N ∼ (F D)

χ, (2.17)

where χ is the scaling exponent. Equation (2.17) shows that there are three ways to change the N . Increasing F leads to an increase in number of incident atoms at the surface, i.e. there will be a larger number of islands. An increase in D decreases the mobility of atoms on the surface, i.e. there will be fewer atoms that can diffuse and create stable clusters. The last way to change N is to change χ, which is given by χ = idD 2(i+ 1) + df , (2.18)

where dD, df are the dimensionality of diffusion and dimensionality of islands,

respectively. A change in χ would necessitate a change in growth and diffusion dimensionality, but is possible with e.g. surfactants, which will be seen in section 2.7. For 3D islands dD = 2 and df = 3 which yields

χ = i

i

+ 2.5. (2.19)

The island density will eventually reach a saturation density, Nsat, where all

de-posited material will be incorporated into existing islands rather than nucleate new ones. It is important to note that the above rate equations are only valid in the low coverage regime, as impingement and coalescence effects are neglected. If a term that takes coalescence into account is added to (2.11) a maximum island density Nmaxcan be found, before more material added will result in a decrease

of island density due to more frequent coarsening processes. Nsat and Nmax

are similar, Nsat stems from the competition between nucleation and growth,

whereas Nmaxstems from the same interactions as well as coarsening. Nmax

(24)

Figure 2.4: Schematic image of two islands coalescing where the leftmost is the initial stage and the rightmost is the final stage of coalescence. The arrows indicate the direction of mass flow.

2.4

Coarsening

When islands grow larger they will start to impinge on each other and merge, this is called coarsening. Several possible coarsening processes will be outlined in the following sections, but the most relevant mechanism for film deposition is coalescence. The driving force for coarsening of 3D (and 2D) islands is the mini-mization of their free energy [1, p. 571]. As the volume mean free energy scales with r3, and the surface mean free energy scales with r2, merging two islands re-sults in higher energy loss from the larger volume than energy increase due to the larger surface. With a geometric calculation, approximating the islands to half spheres, for two islands merging it can be seen that surface area will be decreased by up to 50 % depending on the size relation between the two islands.

2.4.1

Coalescence

One mechanism of grain coarsening is coalescence, i.e. the process where two impinging islands create a neck between them and merge into a single particle. An illustration of coalescence is given in Figure 2.4. The first step of coalescence of two impinging islands is the formation of a neck which promotes mass flow of atoms to the neck. This mass flow is driven by the minimization of the surface energy of the atoms, which is higher in convex areas as compared to concave areas. This driving force can be understood with the help of the chemical potential µ; the relation between convex and concave areas is µconvex > µconcave. Therefore

there is a µ-gradient ranging from the convex area of the islands to the concave areas inducing mass flow from the convex areas to the concave areas [9, p. 396– 397]. This qualitative image of coalescence is not ecomplete, e.g., there may exist facets in the neck that do not contribute with any strong bonding sites such as kinks etc. The coalescence is then limited by nucleation on the island facets rather than the mass transport between the islands [26].

(25)

2.5 Roughness 13

2.4.2

Ostwald ripening

Another process of grain coarsening is called Ostwald ripening, during which larger islands grow at the expense of smaller islands. This effect results from the curvature dependence of the chemical potential µ ∼ κ where κ is the island curvature. Due to this scaling behaviour smaller islands exhibit a larger chemical potential as their curvature is larger. In the vicinity of two islands with different sizes, an adatom concentration gradient exists, which induces a mass transport from the smaller islands to the larger islands, shown as a schematic in Figure 2.5, The Gibbs-Thomson equation relates the adatom concentration surrounding an island to the chemical potential of the island, i.e. islands with larger chemical potential have a higher quantity of adatoms [27, p. 67].

Figure 2.5: Schematic of how Ostwald ripening occurs. The small island releases adatoms and they migrate towards the larger cluster until the small island is completely dissociated.

2.4.3

Smoluchowski ripening

Another method for island coarsening is the Smoluchowski ripening. This effect comes from small island´s ability to diffuse over the surface. Mobility for islands scale inversely with the size of the island, thus an island small enough may dif-fuse on the surface. If the diffusing island encounters another island it can be absorbed into, thus generating a single larger island [28].

2.5

Roughness

Film roughness can be quantified in different ways, in this thesis one of them will be introduced, RRMS, which is the root mean square roughness. This is the

pa-rameter referred to when discussing roughness in the following chapters. RRMS

(26)

surface heights. It is defined as RRMS = v t 1 n n X i=1 yi2, (2.20)

where n is the number of samples in the measured length, and yi is the distance

from the mean value line to the i:th data point. The mean value line divides the surface profile so the sum of the squares of the deviations of the profile height from it is zero [29, p. 134]. Since roughness is dependent on the standard de-viation of surface heights it is clear that roughness depends largely on growth morphology, i.e. 2D or 3D growth. Factors that influence the roughness can therefore be e.g. surface diffusion, deposition rate, and interlayer transport. If interlayer transport is hindered, the roughness will increase as less material can diffuse down from the top of islands. One way to alter these parameters is to use surfactants, and this will be introduced in Section 2.7.

2.6

Growth transitions

The previous sections have described how specific growth events occur, while in this section a more qualitative view of growth events is presented as well as an explanation about what different growth stages there are. During initial stages of film growth, islands nucleate and grow by the different mechanisms described above. As the islands grow larger they start to impinge on each other and start to coalesce; however, coalescence, as all real processes, has a finite completion time. The time to finish a coalescence event generally scales as τcoalR4 [30,

p. 2395]. As the average island size increases with ongoing deposition time, and at some point the islands will be too large to complete coalescence before a third island impinges on the coalescing point. This transition is usually referred to as the elongation transition as islands form elongated structures rather than cir-cular ones [31]. As the islands grow even larger, more and more of them start to impinge on each other, eventually generating a network of interconnected is-lands. The onset of this network can, for metals grown on insulating substrates, be observed by its ability to macroscopically conduct electricity (i.e. percolation); there is an interconnected path of islands which the electrons take from one side of the substrate to the other in this network [32]. After the percolation transition, gaps exist in the film which have to be filled either by atoms directly deposited into the gap or by atoms diffusing downwards; this is the so called "hole-filling" stage of film growth. The final stage of film formation is the continuous film for-mation transition, i.e. when all the gaps that existed during percolation are filled, thus creating a continuous film. A schematic image of these different transitions is shown in Figure 2.6.

(27)

2.6 Growth transitions 15 F igure 2.6: Ill ustr ation of the di ff eren t stag es of growth a film experiences. The im portan t quan tities for each tr ansition is outlined in the top left. θE lo n g , θP er c , and θC o n t are the thicknesses for el ong ation tr ansition, percola tion tr ansition, and con tin uous film forma tion, respectiv el y. Reprin ted with permission from author [33].

(28)

2.7

Growth manipulation by surfactants

A surfactant is an element or a compound that is adsorbed on the surface and modifies atomic-scale kinetics and thereby manipulate film growth. The role of surfactants on thin film growth has been studied extensively in homo- and het-eroepitaxial systems [34][35][36] but is less known for other systems, e.g. metals on weakly-interacting substrates. Surfactants can change the morphology of a material during growth by: i) changing the diffusion barrier ED, ii) changing the

step edge barrier ESE, or iii) changing neither of them, but affecting the mobility

along island steps. Any changes of ED and ESE will be a linear combination of

scenario i ) and ii ).

Scenario i) can be realised with a layer of surfactants floating (i.e. always stay-ing on the surface, even after deposition) on the surface. If this floatstay-ing layer increases ED, there will be an increase in N ; if second layer nucleation is

sup-pressed, this will drive the system towards a layer-by-layer growth. If second layer nucleation is present in the system then the growth morphology may end up in 3D growth instead, but with smaller islands. Scenario ii) can be realised by having a smaller amount of surfactants that preferentially binds to the step edges, thus changing only ESE. If ESE is lowered, more atoms will be able to diffuse up

and down the step edges, thus favoring 2D growth. The opposite scenario, an in-crease of ESE, generates a 3D growth instead; an example of this is CO on Pt(111).

The final scenario iii) influences neither ED nor ESE, but increases or decreases

the mobility along step edges [10, p. 227-229]. Different crystallographic orien-tations have different diffusion barriers; a consequence of this is the existence of an equilibrium shape of the island [10, ch. 3.1]. In scenario iii) the surfactants influence the mobility along step edges, i.e. it is possible to alter island shape by using surfactants. One example of this is the change from triangular islands to compact, irregular, islands through the addition of a small amount of CO to Pt grown on Pt [10, p. 94-95].

(29)

3

Introduction to optical properties of

solids

Optical properties of films are an important aspect of this work as the evolution of these properties are observed to study the film growth in situ. Hence, the present chapter provides a basic introduction to the theory of optical properties of solids (Section 3.1), while models for describing optical properties of materials are outlined in Section 3.2. The following section is mostly derived from [37], but has been cross-checked with other sources such as [38] as well.

3.1

Maxwell’s equations and the dielectric function

It is of uttermost importance to understand the Maxwell’s equations when study-ing optics, as they are the equations that describe electromagnetic properties, i.e. the way a material responds to electromagnetic radiation. The Maxwell’s equa-tions are formulated as following

∇ × H = J +∂D ∂t (3.1) ∇ × E = −∂B ∂t (3.2) ∇· D = ρ (3.3)· B = 0 (3.4)

where H and E are the magnetic and electric field, respectively. These quantities arise from the current density J , and electric charge density ρ. The effect of H and E on matter is given by B and D as the magnetic flux density and electrical displacement, respectively [37, p. 2]. The interaction with matter is given by

B =µrµ0H =µ0H + M (3.5)

(30)

D =r0E =0E + P (3.6)

J =σ E (3.7)

where µr is the relative permeability M is the magnetic polarization, r is the

relative permittivity, and P is the electrical polarization; for notational reasons the subscript r will be dropped from rfrom hereon. The magnetic and electrical

polarization are given by

M =χmH (3.8)

P =0χeE (3.9)

respectively. χmand χeare the magnetic and electric susceptibility, respectively.

µ0is the magnetic permeability and σ is the conductivity. For most materials the

displacement D will not follow time variations of E, but will instead experience a phase shift. This phase shift can be accounted for by introducing an imaginary part to  [37, p. 2-3]

 = 1+ i2. (3.10)

Using the Clausius-Mosotti relation,  can be obtained as

 = 1 +αn 0 1 1 −3αn 0 , (3.11)

where n is the dipole number density, and α is the polarizability. Equation (3.11) shows that the dielectric function of the material is related to the density and size of dipoles in the material [37, p. 10]. What is not indicated is the spectral dependence, i.e. the wavelength dependence, of ; it is of value to introduce a wavelength dependent dielectric function (ω) to get a full description. It is possible to calculate 1 if 2is known and vice-versa using the Kramers-Kronig

integrals 2(ω) = π P V ∞ Z 0 10) − 1 ω02−ω2 0 (3.12) 1(ω) − 1 = 2 πP V ∞ Z 0 200 ω02 ω2 0 (3.13)

where PV stands for principal value. This is of value when not both of 1(ω) and

2(ω) can be measured over the full spectral range [37, p. 14]. Photons can

inter-act with material in several ways, but the focus within this work is the interinter-action with electrons. Photons can interact with electrons, e.g. by either inter- or intra-band transitions where an electron is excited either over the intra-bandgap or within the band, respectively. The interband transitions can either be direct or indirect depending on phonons are involved or not [37, p.11].

(31)

3.2 Description of dielectric function in view of dispersion models 19

3.1.1

Plane waves and polarized light

A solution to the Maxwell’s equations is a so called plane wave. If the electrical field E is used, the solution, for a wave traveling in an arbitrary r-direction, is given by [37, p. 95]

E(r, t) = <[E0ei(q·r−ωt)] = <[E0ei(qxx+qyy+qzz−ωt)] (3.14)

where q is the propagation constant vector. It can be seen that waves can have the same wavelength and intensity, but differ in the direction of their electric fields. This difference in direction can be described with polarization. For a wave traveling in the z-direction the E-field can be described as [37, p. 101]

E(z, t) ="|Ex|e

i(qz−ωt+δx)

|Ey|ei(qz−ωt+δy)

#

(3.15)

where δx, δyare the phases of Exand Eyrespectively. Different sorts of

polariza-tion exists, if Exand Eyare uncorrelated, the wave is unpolarized, while if one of

them is 0, the wave is linearly polarized in the non-zero direction. When studying optical properties of materials with spectroscopic ellipsometry, the wave compo-nents are commonly called s- and p-compocompo-nents for the perpendicular and par-allel, respectively, with respect to the plane of incidence.

Ellipsometry is a technique which uses light of a known polarization to de-scribe the response, i.e. how the dielectric function behaves, of a material, which is why polarization is especially important for ellipsometry. The following sec-tion introduce some ways to describe the dielectric funcsec-tion.

3.2

Description of dielectric function in view of

dispersion models

There are many ways to describe the dielectric function, but in this thesis two of them will be in focus, the Lorentz model and the Drude model. The Drude model describes ideal metals, i.e. metals that only have free electrons, and is a special case of the Lorentz model. When electrons are bound, the Lorentz model can be used to model the material instead; this is applicable to e.g. metals and semiconductors.

3.2.1

Lorentz model

An atom with electrons bound to it can be approximated to the classical example of a mass on a spring, where the mass represents the electrons. This means the equation to describe the movement of electrons is given by [38, p. 42-43]

me d2r dt2 + meΓ dr dt + meω 2 0r = −eE (3.16)

where meis the mass of an electron, Γ is the damping rate, ω0 is the resonance

(32)

equation represents an acceleration force of an electron, the second is a damping force which comes from various scattering mechanisms in the material. The third term is a restoring force from Hooke’s law, and the right hand side is the electric force where a field is applied upon a charge [38, p. 43]. Using a Fourier transform on (3.16) generates

me(−iω)2r(ω) + meΓ(−iω)r(ω) + meω20r(ω) = −eE(ω), (3.17)

which can be rewritten to

r(ω) = − e me

E(ω)

ω20ω2−iωΓ. (3.18) A dipole moment is defined as p = −qd, where q is the charge, and d is the displacement of said charge. Using e as the charge and r(ω) as the displacement, the dipole moment can be formulated as

p(ω) = −er(ω) = e

2

me

E(ω)

ω20ω2−iωΓ. (3.19) For sufficiently small displacements, a linear relationship exists between the dipole moment p and the electric field E

p(ω) = α(ω)E(ω) (3.20)

where α(ω) is the atomic polarizability. Combining (3.19) and (3.20), the polariz-ability can be written as

α(ω) = e

2

me

1

ω02−ω2−iωΓ. (3.21) If there are N atoms per unit volume, then the polarization is an average over all the dipole moments in the unit volume, and is given by

P (ω) = N hp(ω)i = 0χeE(ω). (3.22)

Combining (3.20), (3.21), and (3.22) the electric susceptibility can be written as

χe(ω) = N α(ω) 0 = (N e 2 0me ) 1 ω20ω2−iωΓ, (3.23) and with the plasma frequency ω2p = N e

2

0me,

χe(ω) =

ω2p

ω20ω2−iωΓ. (3.24) Finally, recall (3.6) and (3.9) which gives D = 0E =0(1 + χe)E, thus

(ω) = 1 + χe(ω) = 1 +

ω2

p

ω20ω2−iωΓ, (3.25) which is the Lorentz model for oscillations of electrons, or more specifically the dielectric function for a material with a single resonance ω0.

(33)

3.2 Description of dielectric function in view of dispersion models 21

3.2.2

Drude model

The Drude model is applicable to metals as the electrons can be considered as a free electron gas in a metal. The model can be derived either from the the-ory Drude presented 1900, or by using the Lorentz model with the mass on a spring analogy. In this thesis the latter will be used for the sake of continuity and simplicity. As the electrons are considered free in metals, the restoring force in (3.16) will be negligibly small and does not need to be taken into account [38, p. 53]. Using the same derivation as before, the Drude model can be formulated as

(ω) = 1 − ω

2

p

ω2+ iωΓ (3.26)

Using the Drude model, the film resistivity ρ can be calculated by [37, p. 85]

ρ = Γ ω2p0

(34)
(35)

4

Methods

This chapter will introduce the techniques used in this thesis for synthesizing and characterizing thin films. Each section will contain the general concept of the technique and how it is implemented for this work.

4.1

Magnetron Sputtering

Physical vapor deposition is a term describing all coating techniques which are based on physical interactions to form a film rather than chemical reactions, and one of them is DC magnetron sputtering, which is used in this work.

Initially, a gas is introduced to the deposition chamber, usually Ar as it is the cheapest of all noble gases. In this work, along with Ar, small fractions of N2

and O2were also used to act as surfactants. A plasma is then created to generate

ions that will sputter the target, i.e. ejecting atoms from a target material; the plasma is created by applying a large potential difference between a cathode (the target) and an anode, thus ionizing the gas in the chamber. The ions then strike the cathode and eject secondary electrons which in turn are accelerated into the plasma; the electrons strike atoms in the plasma and ionize them, i.e. sustaining the plasma [39, p. 326-327].

Atoms ejected from the target will bind to the first site encountered, i.e. sput-tering is a line of sight technique and deposition can be shadowed by physical means [40, p. 4-5]. A magnet is used in magnetron sputtering, in the case of this work a planar magnet, to increase ionization efficiency, as the electrons are confined closer to the target in helicoidal trajectories due to the B-field, i.e. they can participate in more ionization collisions before they are lost to the walls of the chamber. Using a magnet while sputtering yields a higher sputtering rate at lower voltages when compared to sputtering without a magnet [40, p. 250]. A schematic of magnetron sputter deposition is illustrated in Figure 4.1

(36)

Figure 4.1: Illustration of how DC magnetron sputtering deposition works. Ar gas is used for the plasma.

4.2

Spectroscopic Ellipsometry

As mentioned in Section 3.1.1, ellipsometry uses polarized light incident on a sur-face and measures the polarization changes to determine the optical properties of the sample under investigation. For a simple two-phase system, i.e. a bulk ma-terial in e.g. vacuum, light is shined on the mama-terial and reflected to a detector. The quantity measured by the detector is the ratio between reflection coefficients for p- and s-polarized light

ρ = rp rs

= tanΨ ei∆ (4.1)

where Ψ and ∆ are called ellipsometric angles and are often given as measured quantities.

In this case, the dielectric function of the bulk material can be directly related to ρ. For a more complicated three-phase system, e.g. vacuum/film/bulk, the po-larization change occurs at several interfaces. When measuring ρ in such systems, the measured quantity is the so-called pseudo-dielectric function <  > which en-tails information about the dielectric function of film and substrate, as well as the film thickness. To relate <  > to the individual dielectric functions f and s, the

(37)

4.3 Scanning Electron Microscopy 25

in [37, p. 230]. A practical way to extract f and sis to use modelling in which

ellipsometric data is analyzed using dispersion models described in Section 5.2. When modeling ellipsometric data, besides using different models, a term ∞can

be added to in the model to account for contributions from energies above what is taken into account in the different models [37, p. 286].

Spectroscopic ellipsometry is used in the present work in situ to study optical properties and, thereby, film morphological evolution. The principles of spectro-scopic ellipsometry are the same as described above, but uses several wavelengths for the incident polarized light; Ψ and ∆ are then plotted versus the energy of incoming light [37, p. 266-267]. Film morphological evolution is assessed by ex-tracting the film resistivity at various growth stages; as the film grow thicker, there is an increase in conductivity, i.e. a decrease in resistivity, until it reaches a steady-state. The aforementioned quantification of the film only works for con-tinuous, or near-continuous films, for discontinuous films the LSPR can be used to analyze the film. LSPR takes advantage of plasmon oscillations for particles smaller than the wavelength of incident light, where the incident light induces a plasmon oscillation around a nanoparticle. When islands are small enough they can be analyzed using LSPR, which is dependent on the size, shape, and local dielectric properties of the material. Due to its size dependence, the LSPR will drop when islands grow larger [41]. This method has been used to study metal nanoparticles by e.g. Kalfagiannis et al. [42].

4.3

Scanning Electron Microscopy

A scanning electron microscope (SEM) is a microscope that uses an electron beam to image items with a resolution down to the nanometer scale [43, p. 2]. The elec-tron beam is focused to a small spot on the sample and scanned over the surface of interest. Electrons are typically generated thermally from a cathode filament, and only a small angle is allowed to escape towards the sample, and then focused by several electromagnetic lenses. The energy of the beam is typically between a few to tens of keV. When the electrons strike at the sample they will either transfer their energy inelastically to the sample or backscatter elastically. In this work only inelastically scattered electrons are used. For inelastic collision, a vol-ume is excited in the sample and electrons are ejected; these electrons are called secondary electrons. The volume excited by the primary beam is quite small, i.e. the secondary electrons are very surface sensitive [9, p. 584-587]. SEM is used in this work to get an image of the surface and to be able to extract film properties, e.g. island density, island size. This helps generating quantitative image of how different gases affect the film morphology. A schematic of an SEM can be seen in Figure 4.2

(38)

Figure 4.2: Schematic image of an SEM. Reprinted from https:// commons.wikimedia.org/wiki/File:Schema_MEB_(en).svg with license Creative Commons Attrubution-Share Alike 1.0.

4.4

X-Ray Diffractometry

XRD is a non-destructive technique to characterize materials using x-rays inci-dent on the sample. The technique is based on Bragg’s law [44, p. 436]

2dhklsinθ = nλ, (4.2)

where dhklis the distance between (hkl) planes, θ is the incident beam angle, n is

an integer, and λ is the beam´s wavelength. This is the condition for an incident beam to interfere constructively with the refracted beam, an illustration of this can be seen in Figure 4.3.

(39)

4.4 X-Ray Diffractometry 27

Figure 4.3:Schematic over the principle of using diffraction for determining crystal structure with Bragg’s law.

The Bragg condition is only fulfilled at certain angles for a given material which gives rise to a Bragg peak. The Bragg peak corresponds to a plane (hkl), and the position of the peaks can be used to determine material parameters, e.g. lattice constant, and, to a certain extent, elemental identification. The FWHM of the peaks can be used to determine the average grain size in the corresponding (hkl) direction using the Scherrer formula

Naa ≈

K λ

∆(2θ)cosθ (4.3)

where Naa is the average grain size, K ≈ 0.9, and ∆(2θ) is the FWHM of the

cor-responding peak [45, p. 8-10]. In this work, XRD was performed in the so called

θ-2θ configuration, i.e. the x-ray generator and detector are moving

simultane-ously while the angle between incident beam (θ) and refracted beam is equal to 2θ. The configuration can be seen in Figure 4.4

(40)

Figure 4.4:Illustration of how the θ-2θ measurement is configured.

4.5

X-Ray Reflectometry

X-ray reflectometry (XRR) is a surface sensitive technique that can be used to extract material parameters, e.g. surface roughness, film thickness, and density, using x-rays incident on a surface at low angles. The scanning setup for XRR is the same as in XRD, i.e. θ-2θ. When x-rays are shined on the surface at angles lower than the critical angle, total reflection occurs and all the x-rays are detected. At slightly larger angles Kiessing fringes occur, they arise from interference at the air/film and film/substrate interfaces. As such, the interference stems from electron densities rather than plane distances as in XRD. An XRR scan range of 0.1 ∼ 0.2◦−4 ∼ 12is commonly used [46, p. 5].

To extract data from XRR, a program is usually used, the program uses input parameters, e.g. thickness, roughness, and density, to generate a model which is then compared to the measured data. For analysis in this thesis work, the program X’pert reflectivity was used to model XRR-data. An XRR measurement can be seen in Figure 4.5. The critical angle can be extracted from the initial drop; at higher angles Kiessing fringes are observed. The decay of the signal as well as the amplitude and frequency of the Kiessing fringes gives a qualitative image of the film parameters. The frequency of the fringes is related to the thickness of the film, i.e. larger thickness gives more frequent fringes. Film density can be determined by the critical angle; the amplitude of the fringes is related to the difference between densities of film and substrate, i.e. larger difference, larger fringe amplitude. The surface roughness is related to the decay of the signal, and a higher surface roughness is represented by a quicker decay of density. The interface roughness also affect the amplitude of the fringes, i.e. higher interfacial roughness generates decreased fringe amplitudes [46].

(41)

4.5 X-Ray Reflectometry 29

Figure 4.5:XRR measurement of an a-C capped Ag film grown in Ar atmo-sphere on SiO2/Si substrate (hollow circles). The solid black line represents

(42)
(43)

5

Experimental strategy and

procedures

The goal of this work is to investigate the effects surfactants have during film growth of metals on weakly-interacting substrates. In this section the experimen-tal plan and details are outlined i.e. what types of samples are deposited and what the plan for characterization is. Ellipsometry is used as a first indication of what effects the reactive gases has on the growth.

5.1

Thin film deposition

Ag and Au layers are deposited in pure Ar atmosphere (referred to as metal ref-erence samples) and in Ar/O2 (Ag) and Ar/N2 (Au) ambients (both referred to

as gas reference samples), whereby the surfactant gases are present throughout all growth stages. The partial pressures of O2 and N2are 1 % and 30 %,

respec-tively, of the total sputtering pressure (both values measured in the absence of plasma).To investigate the early growth stages N2and O2are introduced in the

chamber in two ways: i ) exposing the sample for a set amount of time tE

(expo-sure time), and ii ) introducing the gas to the chamber after a set amount of time

tD (delay time). The times used for both exposure and delay are 2 – 20 s. In situ

ellipsometry is used for most samples, and several samples of each type are de-posited to ascertain the statistical significance of the results. The pressure used is 10 mTorr for every sample, and depositions are performed at constant current of 20 mA. This results in voltage values between 288 and 298 V for the Ag sam-ples, and between 337 and 342 V for the Au samples. All films are deposited on 10x10 mm SiO2/Si wafers, where the SiO2 is thermally grown and roughly 500

nm thick.

Capping is a common technique to prevent post-growth morphology changes

(44)

in films and nanoparticles [47][48]. Amorphous carbon (a-C) is used due to its transparency to the wavelengths of the radiation used in ellipsometry in this work, as well as due to its amorphous structure, i.e. it does not show as a peak in XRD-measurements. The capping layer is deposited from a graphite sputtering target with a constant voltage of 503 V, and at a pressure of 10 mTorr. Capped Au and Ag ex situ samples with thicknesses of 2, 3, and 5 nm are deposited to study early stages of growth, and 24 nm Ag samples as well as 20 nm Au samples are deposited to observe final film morphology using ex situ techniques. For the 2, 3, and 5 nm samples a capping layer with a thickness of 3 nm is deposited, while for the ≥ 20 nm samples, a 1 nm thick capping layer is used. A list of samples deposited can be found in Table 5.1

Sample purpose Metal Reactive gas Experimental series Time

In situ characterization Ag O2 tE 2 s 5 s 10 s 20 s tD 2 s 5 s 10 s 20 s Metal ref — Gas ref — Au N2 tE 2 s 10 s tD 10 s Metal ref — Gas ref — Ex situ characterization Ag O2 Metal ref — Gas ref — Au* N2 tE 10 s tD 10 s Metal ref — Gas ref — Table 5.1: Samples deposited and presented in this work. ’Metal ref’ in-dicates growth without reactive gas, and ’Gas ref’ inin-dicates growth with a continuous supply of N2and O2gases.

(45)

5.2 Film morphological evolution 33

5.2

Film morphological evolution

Spectroscopic ellipsometry is used as an in situ characterization technique, as mentioned in Section 4.2, and the data is analyzed using the CompleteEASE software [49]. Incident light from the ellipsometer used has an energy of 1.6 – 4.5 eV. Both metals are analyzed using a four-phase system which consists of vacuum/metal/SiO2/Si. When doing the measurement, an initial measurement

is done on the substrate to be able to model the SiO2/Si system alone as a way to

decrease the amount of fitted parameters when analyzing the film. In the Com-pleteEASE software the SiO2/Si data is modeled using a Si- and a SiO2-data file

from [50], where the SiO2 thickness is fitted using a starting value of 500 nm.

The SiO2 layer thickness is then used as constant input parameter when fitting

the ellipsometry spectra of the film/SiO2/Si-system.

5.2.1

Ag

The analysis range for Ag is 1.6 – 3.5 eV, and the upper limit is imposed to not explicitly have to model the effect of interband transition present in Ag at in the ultraviolet part of the electromagnetic spectrum. To model Ag-layer optical re-sponse, a Drude(RT) term is used, where the software presents the Drude model based on resistivity and scattering time. The Drude model describes the film well due to the lack of interband transition in the analysis range, and because the thickness is confined to roughly ≥ 10 nm. The fitting parameters are the film resistivity, the electron scattering time, the film thickness, and the ∞. The

evolution of film resistivity versus film nominal thickness for Ag layers grown at various condition is presented in Figure 5.1. The nominal thickness represents the amount of atoms deposited on the substrate surface at a given time and is cal-culated by multiplying the deposition time with the film growth rate; the latter is extracted from the thickness of a continuous layer. The resistivity is obtained from the Drude term, and the continuous film formation is, as previously men-tioned, obtained where the resistivity reaches a steady-state.

(46)

Figure 5.1: Ellipsometric data for Au, the continuous film formation thick-ness can be seen where the curves reach a steady-state. This is data from a 4-phase system modeled as described in Section 5.2.2.

5.2.2

Au

The analysis for Au is confined to an energy range of 1.6 – 4.0 eV. The analysis is divided into two parts, early stages, and later stages; the early stages are dom-inated by localized surface plasmon resonance (LSPR) which is modeled with a single Lorentz term, and the later stages are modeled with one Drude term and two Lorentz terms, which is found by trial-and-error. The Drude accounts for the metallic behaviour of Au, but since Au exhibits interband transitions in the anal-ysis range, two Lorentz terms are added to account for this. The layer is fitted using film thickness, ∞, a Drude term, and two Lorentz terms. The Drude term

is fitted using resistivity and scattering time, and the Lorentz terms are fitted us-ing amplitude, broadenus-ing, and energy position. For the analysis of the thicker parts, the thickness is confined from roughly ≥ 6 nm, while for the thin samples the thickness is confined to roughly ≥ 1.5 nm and ≤ 5 nm; this is due to the LSPR not being accurate at larger ranges.

Bulk-like gold samples, i.e. films with a very large thickness, are deposited to obtain starting values for the oscillator terms, and the thickness obtained from the author’s model is compared with Au data from Palik to confirm the validity of the model.

(47)

5.3 Ex situ film morphology 35

5.3

Ex situ film morphology

An important complement for in situ ellipsometry are the images obtained from SEM as they provide real-space information to compare with the ellipsometric data. All images are taken with a working distance of approximately 3 mm and an attempt to use the same contrast setting for all images is made. The images are taken with a magnification factor of 1.5*105, 3.5*105, and 5*105, but only the 3.5*103magnification images are presented in this work as they give a good rep-resentation of the overall film morphology while maintaining a proper resolution for image processing.

The software used for image analysis is ImageJ [51], and the scale for all image parameters are given in nm. For the processing of the images, a band pass filter is first used to filter smaller structures and smoothing the images. A threshold is set manually for the filtered image to determine how much of the gray-scale is to be used, i.e. increasing or decreasing island size; the threshold is set manually while comparing to the original image and trying to fit the island size to the orig-inal image. There might exist some errors in the absolute values e.g. for island size because the fitting is done manually, but the distributions should be qualita-tively correct as any error would be systematic throughout all the samples. Island size distribution, island aspect ratio distribution, and real images are compared to gain a good overview of how the different growth conditions affect film mor-phology. An example of an SEM image with its corresponding size distribution histogram can be seen in Figure 5.2 and Figure 5.3.

Figure 5.2:SEM image of 2 nm thick Ag Ar reference at 3.5*103 magnifica-tion.

(48)

Figure 5.3: Histogram with normalized count versus island size, for Ag Ar reference, data from 5.2

SEM measurements were combined with XRR to obtain film roughness, thick-ness, and density. The optics used for XRR are an initial 1/32◦slit and a Goebel mirror for the incident beam. After reflection there is a parallel plate collimator and a Ni filter. The data is modeled in X’Pert reflectivity, and the parameters used for modeling can be seen in Table 5.2, and a typical XRR measurement is seen in Figure 5.4 The fitting is done manually to the best of the author’s ability. The density and thickness of SiO2 is kept constant when modelling both metals,

and the density for the a-C is estimated to lie in the range of 1.5–2.0, i.e. it is confined in this range during fitting. The density of Au is kept constant whereas the density of Ag is allowed to be changed slightly, there is no reason for the dif-ference in approach. The roughness of a-C is theorized to follow the underlying layer’s roughness, and an attempt is made to keep them roughly similar.

(49)

5.3 Ex situ film morphology 37

Intensity (counts/s) 1889769.66 Background (counts/s) 8.88

Intensity (counts/s) 0.009 Beam width (mm) 0.06

Material Density (g/cm3) Thickness (nm) Roughness (nm)

C 1.8338 2.8 1.75

Ag 10.5 24.8195 1.75

SiO2 2.64 600000 0.4071

Table 5.2: Fitting parameters for Ar (Ag) reference XRR measurement, the top part is the instrumentation settings while the bottom part is the sample settings.

Figure 5.4:XRR measurement of an a-C capped Ag film grown in Ar atmo-sphere on SiO2/Si substrate (hollow circles). The solid black line represents

(50)

5.4

Crystal structure

As mentioned in 4.4, the θ–2θ configuration is used for measurements. The op-tics used are, for the incident beam, an initial 1/2◦divergence slit followed by a Goebel mirror to make the beam parallel, then another 1/2◦divergence slit before arriving at the sample. A Ni filter is used to filter out the K β radiation of X-rays. The scan range is 30◦– 90◦for both metals, but only 35◦– 85◦are shown in the measurements as the initial and final 5◦do not provide any useful information. The analysis is done using Origin [52] with a peak analyzer, i.e. the peak posi-tions are identified, and peak parameters are calculated, e.g. FWHM, broaden-ing, peak position. Peaks are then matched with the corresponding lattice planes obtained from [53], [54], and [55] for SiO2, Ag, and Au, respectively. Equation

(4.3) is used to determine the grain size for the lattice plane. The detector is used in scanning line mode. The substrates used are amorphous SiO2on Si(100), and

the preferential growth direction for both Ag and Au is (111) on these substrates; this is due to the non-epitaxial deposition, i.e., Ag and Au grows in the direction that minimizes its surface free energy rather than following underlying crystal structure [56].

(51)

6

Results and Discussion

The following section treats the results obtained in this work and a discussion about said results. Results from various characterization methods, elaborated in Chapters 4 and 5 are presented in separate dedicated section for each model system (i.e., O2- Ag/SiO2and N2- Au/SiO2). All SEM images presented in this

chapter have dimensions 860x560 nm2.

A source of error for these results is the day-to-day shape of the chamber; the base pressure differs each day and the history of what gases had been used pre-viously affects this. Therefore the data obtained from the ellipsometer was not consistent between days, but tended to be consistent during one deposition ses-sion. The data used for the resistivity vs. nominal thickness graphs were chosen as it represents the qualitative trends seen for most samples. Several samples of the same type were deposited to get statistically secure results, in total, slightly above 100 films have been synthesized for this work.

6.1

Effect O

2

on Ag growth

6.1.1

Film morphological evolution (spectroscopic ellipsometry)

The resistivity vs. nominal thickness curves for samples deposited with O2

intro-duced for a set exposure time tE (hereinafter referred to as exposure series) are

plotted in Figure 6.1. The thickness at which a continuous film forms is, as men-tioned in Section 4.2, is determined by the point where the resistivity reaches a steady-state. Starting with the reference samples, the data shows that the contin-uous film formation thickness is shifted from approximately 19.3 nm to 13.5 nm for the Ar/O2 sample when compared to the pure Ar sample; there is a shift in

steady-state resistivity from approximately 1.16*10−5 Ωcm to 1.3*10−5 Ωcm as well.

(52)

The sample for tE= 2 s exhibits a continuous formation thickness of 14.3 nm

which shifts toward lower values with increasing tE and reaches a value of 12.5

nm for tE = 20 s. The steady-state resistivity of all exposure series samples is

in the range of 1.0*10−5– 1.2*10−5Ωcm, which is lower than the corresponding values for the Ar/O2reference sample, and similar to the Ar reference sample.

Figure 6.1: Resistivity vs. nominal thickness for exposure depositions of 2– 20 s (squares), and reference samples of Ag in Ar and Ar/O2 (triangles) for

comparison.

The resistivity vs. thickness for delay measurements can be seen in Figure 6.2. The reference samples are the same as in Figure 6.1. The continuous film formation thickness for the delay samples follow the trend of being smaller than the Ar, but for increasing delay times there is an increase in continuous film formation from 13.7 nm for tD = 2 s to 15.8 nm for tD = 20 s, as well as a shift

from approximately 1.3*10−5Ωcm to 1.4*10−5Ωcm in the steady-state resistivity. The steady state resistivity for all delay samples seem to converge to a similar value.

(53)

6.1 Effect O2on Ag growth 41

Figure 6.2:Resistivity vs. nominal thickness for delay depositions of 2–20 s (squares), and reference samples of Ag in Ar and Ar/O2(triangles) for

com-parison.

Continuous film formation vs. exposure and delay time can be seen in Fig-ure 6.3, the Ar and Ar/O2samples are presented as lines. As these values were

determined graphically, there is an error bar of approximately ±0.5 nm for the continuous film formation thickness.

References

Related documents

På grund av detta anser vi att det inte finns någon anledning att beskatta carried interest som inkomst av tjänst, eftersom inkomsten inte endast uppkommer genom

Dock är det inte själva konsumtionen och uppfattningen av produkten som ligger till grund för den interna kundtillfredsställelsen, det vill säga medarbetarnas tillfredsställelse,

194 C ECILIA E KSTRÖM Enabling and Coercive Control: Coexistence in the Case of Banking of the reparability in which the branch business plans are used and the dis- cussion

Ett socialt kapital behöver däremot inte alltid finnas genom en direkt relation till en annan individ, det kan istället utformas efter andra institutionella angelägenheter

Det leder till att vårdpersonalen får lägga ner mycket tid på inventeringar, vilket respondenten menar leder till sämre förutsättningar för att hantera användningen av JIT

Vidare skall även poängteras att uppsatsens andra frågeställning, gällande dirigentens tillvägagångssätt att med kroppen skapa musikaliskt uttryck hos ensemblen inte är

Den historia som skildrar de svarta kvinnornas arbete för NASA på 60-talet som lyfts fram i spelfilmen Hidden Figures ges inte utrymme i läroboken.. Det lilla utrymme

However, despite the fact that Grimaldi’s observations have existed in print for more than a century, this seems to have been largely ignored in the literature, and