• No results found

Övningsuppgifter Sekventiell logik (Moment 5)

N/A
N/A
Protected

Academic year: 2021

Share "Övningsuppgifter Sekventiell logik (Moment 5)"

Copied!
1
0
0

Loading.... (view fulltext now)

Full text

(1)

Övningsuppgifter Sekventiell logik (Moment 5) ETAA32

Ö5.1 Konstruera en krets som har följande beteende:

Insignal: r std_logic(1 downto 0) styrsignal

clk std_logic klocksignal

Utsignaler dout std_logic_vector(1 downto 0) räknarvärde

Beteende: En modulo-4 räknare (binärkod) med följande räknevillkor:

Värde på r Funktion

00 Stå stilla

01 Ett steg fram

10 Två steg bak

11 nollställ

Ö5.2 I ett mätsystem som visas i blockdiagrammet nedan vill man räkna hur många av mätvärdena (measured_value 8-bitar positivt tal) som ligger innanför ett specificerat intervall som ges av gränserna lower_limit och upper_limit (8-bitar). Räknaren ska kunna räkna upp till 60 000. Räknarvärdet ska visas på utgången count.

Analog till Digital Omvandlare

upper_limit lower_limit

count

measured_value

Counter

clock

Ö5.3 Konstruera en krets som genererar en triangelvåg enligt figuren nedan. Utgångsvärdena ska ligga mellan 0 och 7 (binärt).

0 1 2 3 4 5 6 7

References

Related documents

[r]

[r]

Binär kod Gray-kod BCD-kod One-hot Egen kod. Binär kod Gray-kod BCD-kod One-hot

Om fler än en är 1 så ska utgången sättas

Rita schema för tillståndsmaskinen Ö4.2 Ta fram de logiska uttrycken för utsignalfuktionen och.. nästatillståndsfunktionen för tillståndsmaskinen given av grafen

[r]

[r]

Ju större sampel desto lägre standardfel (allt annat lika). Standardfelet är ett slags mått på osäkerheten i uppskattningen av den sanna andelen studerande som jobbar