• No results found

Datainsamlingskort med Compact PCI Express

N/A
N/A
Protected

Academic year: 2021

Share "Datainsamlingskort med Compact PCI Express"

Copied!
62
0
0

Loading.... (view fulltext now)

Full text

(1)

Institutionen för systemteknik

Department of Electrical Engineering

Examensarbete

Datainsmlingskort med Compact PCI Express

Examensarbete utfört i Elektroniksystem

vid Tekniska högskolan i Linköping av

Lotta Persson LiTH-ISY-EX--08/4156--SE

Linköping 2008

Department of Electrical Engineering Linköpings tekniska högskola

Linköpings universitet Linköpings universitet

(2)
(3)

Datainsmlingskort med Compact PCI Express

Examensarbete utfört i Elektroniksystem

vid Tekniska högskolan i Linköping

av

Lotta Persson LiTH-ISY-EX--08/4156--SE

Handledare: Jonas Nilsson

Signal Processing Devices AB

Examinator: Per Löwenborg

isy, Linköpings universitet Linköping, 6 February, 2008

(4)
(5)

Avdelning, Institution Division, Department

Division of Automatic Control Department of Electrical Engineering Linköpings universitet

SE-581 83 Linköping, Sweden

Datum Date 2008-02-06 Språk Language  Svenska/Swedish  Engelska/English   Rapporttyp Report category  Licentiatavhandling  Examensarbete  C-uppsats  D-uppsats  Övrig rapport  

URL för elektronisk version

http://www.control.isy.liu.se http://urn.kb.se/resolve?urn=urn:nbn:se:liu:diva-ZZZZ ISBN  ISRN LiTH-ISY-EX--08/4156--SE Serietitel och serienummer

Title of series, numbering ISSN

Titel

Title A Compact PCI Express compliant Data Aqusition BoardDatainsmlingskort med Compact PCI Express

Författare

Author Lotta Persson

Sammanfattning Abstract

In this thesis a prototype board for data aqcusition is designed and manufactured. The board is compliant with Compact PCI Express and it can sample an analog signal with two time interleaved ADC:s. Furthermore, the board is also equiped with one FPGA for the possibility of signal processing. The thesis also include a study for investigation what is needed for a total solution for data aqcusition, represention and managing the sampled data with LabView.

Nyckelord

(6)
(7)

i

Sammanfattning

I det h¨ar examensarbetet ¨ar ett prototypkort f¨or datainsamling designat och tillverkat. Kortet har utformats enligt standarden f¨or Compact PCI

Express. En analog signal kan samplas med tv˚a tids-interleavade

A/D-omvandlare. F¨or att f¨ora ¨over datat till en PC och m¨ojligg¨ora eventuell signalbehandling har kortet utrustats med en FPGA och l¨ampliga kontak-tdon. I arbetet ingick det att ta reda p˚a vilka delar som beh¨ovdes f¨or att n˚a en helhetsl¨osning i form av att anaolgt data skulle kunna samplas och f¨oras vidare till en PC f¨or representation och databehandling med hj¨alp av LabView.

(8)
(9)

iii

orord

Fem m˚anader och tv˚a kort senare vill jag tacka alla som st¨ottat mig i mitt arbete. Ett speciellt tack till Jonas Nilsson som gjort det m¨ojligt f¨or mig att utf¨ora mitt exjobb p˚a SP Devices och ett stort tack till Emad Athari, Petter Lerenius och Per Magnusson som outtr¨ottligt svarat p˚a mina fr˚agor. F¨or att du alltid finns d¨ar, tack min Martin!

(10)
(11)

v

orkortningar

ADC Analog to digital converter

ADF Advaced differential fabric

BOM Bill of material

CAD Computer aided design

CMOS Complementary metal oxide semiconductor

CMV Common mode voltage

dB Decibel

DDR Double data rate

DIP Dual in-line package

RDC Design rule check

eHM Enriched hard metric

EMI Electromagnetic interference

FPGA Field programmeble gate array

GSSG Ground signal signal ground

GTP Giga transceiver peripheral

I1 Inkrement 1

I2 Inkrement 2

IP Intellectual property

IO In/out

LDO Low drop out

LVDS Low voltage differential signaling

LVPECL Low voltage positive emitter coupled logic

MSP Mega sampel

MPWRGD Module power good

PC Personal computer

PCB Printed circuit board

PCI Peripheral component interconnect,

elektrisk specifikation definierad av PCISIG

PCI Express Seriell utveckling av PCI

PCISIG PCI Special Interest Group

PICMG PCI Industrial Computer Manufacturers Group

PRSNT Present detect input

PXI PCI extensions for instrumentation

PXI Express PCI Express extensions for instrumentation,

en standard framtagen av PXI System Alliance

SMA Sub miniture version A

UCF User constraint file

(12)
(13)

Inneh˚

all

1 Inledning 1 1.1 Syfte . . . 1 1.2 Avgr¨ansningar . . . 1 1.3 Metod . . . 2 1.4 K¨allor . . . 2 1.5 L¨asanvisningar . . . 3

2 Compact PCI Express 5 2.1 Bakgrund . . . 5

2.2 Grundl¨aggande begrepp . . . 5

2.2.1 PCI Express . . . 6

2.2.2 Compact PCI Express . . . 7

2.2.3 PXI Express . . . 7

2.2.4 Specifikationer . . . 7

3 Systemarkitektur 9 3.1 Chassi och PC . . . 10

3.2 S¨att att angripa problemet . . . 10

3.3 Komponenter . . . 11 3.3.1 ADC . . . 11 3.3.2 FPGA . . . 12 3.3.3 Regulatorer . . . 13 3.3.4 Kontakter . . . 14 3.3.5 Flashminne . . . 14

3.3.6 Klockkrets och kristalloscillator . . . 15

3.3.7 USB . . . 15

3.3.8 Lysdioder och switchar . . . 15

3.4 Signaler . . . 15 3.4.1 Referensklocka . . . 16 4 PCB-design 17 4.1 Kortuppbyggnad . . . 17 4.2 Ledningar . . . 18 4.2.1 Differentiella signaler . . . 19

(14)

viii

4.2.2 Terminering . . . 20

4.2.3 Vior . . . 20

4.2.4 Jordplan och returstr¨ommar . . . 20

4.3 AC-koppling . . . 21

4.4 DC-koppling . . . 22

4.5 Overh¨¨ orning . . . 22

4.6 Routing av PCI Express-bussen . . . 23

4.7 Tillverkning och montering . . . 23

5 Testning och fels¨okning 25 5.1 Sp¨anningsmatning . . . 25

5.2 Verifiering av kontaktdonen . . . 25

5.3 Data¨overf¨oring via USB . . . 26

5.4 Verifiering av extern klocka och ADC-er . . . 26

5.5 Compct PCI Express-kommunikation . . . 26

5.5.1 Programmering av FPGA-n . . . 26

5.5.2 Verifiering av referensklocka . . . 27

6 Resultat 29 6.1 F¨orslag p˚a f¨orb¨attringar . . . 29

6.2 Rekommendationer . . . 30

6.2.1 PCB-design med en Viretx-5 . . . 30

6.3 Avslutande diskussion . . . 31

Litteraturf¨orteckning 33

(15)

Kapitel 1

Inledning

Rapporten behandlar ett examensarbete utf¨ort i design och tillverkning av ett prototypkort f¨or h¨oghastighetsdatainsamling enligt standarden f¨or Compact PCI Express. Fokus ligger p˚a vilka delar systemarkitekturen best˚ar av och hur ett m¨onsterkort f¨or h¨ogfrekventa signaler b¨or designas. Utf¨orda tester p˚a tillverkat kort och resultatet av genomf¨ort arbete tas ocks˚a upp.

1.1

Syfte

Syftet med examensarbetet ¨ar att utveckla och tillverka en prototyp till ett kommersiellt datainsamlingskort. Kortet ska utformas s˚a att det kan samla in analogt data som ska kan f¨oras ¨over till en PC och sparas ned p˚a en h˚arddisk. F¨or hantering och representation av datat ska sedan LabView anv¨andas.

Kortet ska vara kompatibelt med PXI Express, vilket inneb¨ar att det har formfaktor 3U och ett PXI Express-interface [14]. Formfaktor 3U motsvarar storleken p˚a ett europakort med m˚atten 100 × 160 mm som passar i en slot till ett PXI Express-chassi. Eftersom kortet ska kunna samla in analogt data ska det inneh˚alla minst tv˚a interleavade A/D-omvandlare (ADC) och en FPGA (Field Programmable Gate Array). En kort bekrivning om hur sampling med interleavde ADC-er fungerar ges i stycke 3.3.6.

1.2

Avgr¨

ansningar

Datainsamlingskortet ska utformas s˚a att det vid ett senare tillf¨alle ¨ar m¨ojligt att l¨agga till Signal Processing Devices (SP Devices) interleaving-algoritm och/eller annan signalbehandling. Att anpassa interleavingalgo-ritmen till kortet ing˚ar inte. Eftersom uppgiften ¨ar att l¨osa ett systeminte-greringsproblem s˚a ing˚ar det inte att studera alla detaljer, utan att snarare

(16)

2 KAPITEL 1. INLEDNING

¨

overblicka vilka delar som beh¨ovs f¨or att kunna designa och tillverka ett fungerande kort. Helheten ¨ar viktigare ¨an prestanda p˚a enskilda delar.

1.3

Metod

F¨or att l¨osa uppgiften var tillv¨agag˚angss¨atten en litteraturstudie blandat med laborationer och en del trial and error. Mycket information h¨amtades fr˚an internet, dessutom tillvaratogs erfarenheter och kunskaper inom SP Devices vad g¨aller caddning och FPGA-er. Uppgiften delades in i tv˚a inkre-ment f¨or att kunna f¨or¨andra och f¨orb¨attra brister och fel som uppkom under arbetets g˚ang. Tanken med en inkrementell metod var att hela ar-betskedjan skulle genomf¨oras i b˚ada inkrementen, f¨or att f˚a m¨ojlighet att kunna utv¨ardera arbetet under utf¨orandet. Syftet med inkrement ett (I1) var att fungera som ett slags test. Inkrement tv˚a (I2) skulle sedan baseras p˚a konstruktionen i I1 men med till¨agg av vissa gjorda f¨or¨andringar och f¨orb¨attringar.

1.4

allor

Som tidigare n¨amnts har sidor och material h¨amtade fr˚an internet varit den st¨orsta och viktigaste k¨allan. Absolut st¨orst betydelse har datablad och anv¨andarguider f¨or FPGA-er publicerade p˚a Xilinx hemsida [18] haft. Sidan ¨ar kommersiell med ett vinstintresse, vilket medf¨or att rekommen-dationer vad g¨aller till exempel komponenter inte beh¨over vara de enda alternativen f¨or applikationen. Det finns dock motiv till att f¨olja dessa rek-ommendationer d˚a ˚atminstone den l¨osningen b¨or fungera. Dessutom har avsikten med uppgiften endast varit att ta fram ett prototypkort utan krav p˚a specifika komponenter. Eftersom Xilinx ¨ar producent av den FPGA som anv¨ands till kortet s˚a anser jag ocks˚a att informationen om FPGA-n och datakommunikation till och fr˚an den ¨ar tillf¨orlitlig.

Vad g¨aller ¨ovriga internetsidor har jag bed¨omt tillf¨orlitligheten fr˚an vilket ursprung sidan eller dokumentet har och vem som f¨orfattat det. Generellt sett anser jag att dokument och information h¨amtade fr˚an undervisande institutioner ¨ar mer tillf¨orlitligt material ¨an dokument och information h¨amtade fr˚an kommersiella sidor, allra helst om det finns angivet f¨orfattare till dokumentet eller hemsidan. Har tveksamhet ang˚aende n˚agon sida fun-nits s˚a har jag valt att styrka uppgifterna fr˚an ytterligare en k¨alla i den m˚an flera k¨allor funnits tillg¨angliga.

Elektroniktidskrifter finns ocks˚a som k¨allmaterial och n¨ar det g¨aller dessas trov¨ardighet g˚ar det oftast att kontrollera artikelns k¨allmaterial och f¨ orfatt-are. N˚agot som ocks˚a b¨or beaktas d˚a dokument h¨amtade fr˚an internet anv¨ands som k¨alla ¨ar sidans aktualitet. Detta kontrolleras l¨att via sidans

(17)

1.5. L ¨ASANVISNINGAR 3

senaste uppdatering.

1.5

asanvisningar

I Kapitel 2 redog¨ors f¨or grundl¨aggande begrepp som ¨ar ˚aterkommande i hela rapporten. D¨arefter finns en beskrivning av systemarkitekturen och komponentval i Kapitel 3. Kapitel 4 beskriver PCB-design (Printet Circuit Board) och Kapitel 5 behandlar fels¨okning som gjorts p˚a kortet till I1. Det avslutande kapitlet, Kapitel 6, inneh˚aller resultaten av utf¨ort arbete samt en avslutande diskussion. Schema p˚a kortet, BOM-lista (Bill Of Material) och leverant¨orsf¨orteckning finns ˚atergivet i Appendix.

(18)
(19)

Kapitel 2

Compact PCI Express

2.1

Bakgrund

Signal Processing Devices (SP Devices) arbetar med avancerad signalbe-handling. Till grund ligger forskning som resulterat i en patenterad al-goritm som kompenserar f¨or fel som uppst˚ar d˚a tidsinterleavade ADC-er anv¨ands. Att anv¨anda tv˚a tidsinterlevade ADC-er g¨or det m¨ojligt att sampla i dubbelt s˚a h¨og hastighet som d˚a en ADC anv¨ands. Med dagens teknologi kan SP Devices l¨osning sampla i upp till 1 GHz. Flera datainsam-lingskort har utvecklats eftersom samplat data m˚aste kunna hanteras och bearbetas. F¨or att m¨ota marknadens krav p˚a h¨og hastighet och h¨og up-pl¨osning inom bland annat testning och m¨atning planerar SP Devices att

konstruera ett antal h¨oghastighetsdatainsamlingskort, med USB 2.0 och

Compact PCI Express-interface.

Applikationsomr˚aden d¨ar robustare och snabbare m¨at- och automation-system beh¨ovs ¨ar m˚anga. Till exempel finns behov inom medicinska och milit¨ara system, industriell milj¨o och branscher som telekommunkation.

2.2

Grundl¨

aggande begrepp

˚

Aterkommande begrepp i papporten ¨ar PCI Express (Peripheral

Compo-nent Interconnect), Compact PCI Express och PXI Express (PCI eXten-sions for Instrumentation). Nedanst˚aende stycke syftar till att klarg¨ora skillnaderna mellan dessa arkitekturer.

Flera akt¨orer finns som best¨ammer ¨over utvecklingen av de olika standard-erna. Till exempel utvecklas PCI Express under kontroll av PCI Special

Interest Group (PCISIG) som ¨ar organisationen som garanterar att

(20)

6 KAPITEL 2. COMPACT PCI EXPRESS

Standarden f¨or Compact PCI kontrolleras i sin tur av PCI Manufactural

Industrial Group (PICMG) och standarden f¨or PXI kontrolleras av PXI

Systems Alliance (PXISA).

Standarden f¨or Compact PCI Express och tillh¨orande specifikationer kom i juni 2005. Den inkluderar s˚av¨al krav p˚a kontakter f¨or att st¨odja PCI Ex-press som elektriska och mekaniska definitioner p˚a slots och kort. Redan i maj 2005 p˚ab¨orjades arbetet med standarden f¨or PXI Express och i septem-ber samma ˚ar var den tillr¨ackligt utarbetad f¨or att sl¨appas p˚a marknaden [6]. En mer detaljerad genomg˚ang f¨oljer nedan.

2.2.1

PCI Express

Numera tenderar datatransmission att ¨overg˚a fr˚an parallell till seriell ¨ over-f¨oring, eftersom parallell ¨overf¨oring begr¨ansar ¨overf¨oringshastigheten. Be-hovet av kraftigt ¨okade data¨overf¨oringshastigheter ledde till att PCI-bussen utvecklades. Anv¨andningsomr˚adet ans˚ags stort och d¨arf¨or konstruerades en processoberoende milj¨o utan licenser. Specifikationer f¨or standarden har v¨aldefinierade elektriska och mekaniska gr¨anssnitt samt ett v¨aldefinierat bussprotokoll.

Seriella bussar erbjuder bland annat st¨orre skalbarhet i olika systemarkitek-turer och m¨ojligheter att ¨overf¨ora data i b˚ada riktningar samtidigt. Data ¨

overf¨ors via seriella h¨oghastighetsl¨ankar fr˚an punkt till punkt. Varje l¨ank (eng. lane) utg¨ors av tv˚a differentiella ledarpar, ett mottagarpar (RX) och ett s¨andarpar (TX). Figur 2.1 beskriver en l¨ank mellan en enhet A och en enhet B. PCI Express-bussen byggs upp av en l¨ank (x1) upp till 32 l¨ankar (x32) [23]. Definitionen av PCI Express ¨ar en skalbar seriell buss med full simplex och en ¨overf¨oringshastighet p˚a 2.5 Gbps per l¨ank b˚ade asynkront och isokront [10].

(21)

2.2. GRUNDL ¨AGGANDE BEGREPP 7

2.2.2

Compact PCI Express

Compact PCI Express har robusta kontakter och ¨ar industrivarianten av

PCI Express. En hel del krav p˚a h˚ardvaran finns f¨or att standarden f¨or Compact PCI Express ska vara uppfylld.

2.2.3

PXI Express

PXI ¨ar en robust PC-baserad plattform f¨or m¨atsystem och

automatiser-ing. Signalerna fr˚an PCI har kombinerats med kortformatet hos Compact

PCI. Dessutom har PXI utrustats med andra tids- och synkroniseringsegen-skaper. Standarden f¨or arkitekturen lanserades redan 1998 och kom till f¨or att m¨ota det ¨okade behovet av komplexa instrumentella system. PXI Ex-press integrerar signalerna hos PCI ExEx-press med standarden f¨or PXI, vilket ¨

okar bakplanets bandbredd fr˚an 132 MBps till 6 GBps.

2.2.4

Specifikationer

M˚anga specifikationer till dessa standarder finns att tillg˚a p˚a olika s¨att. En del finns tillg¨angliga f¨or nedladdning som pdf-filer och andra finns f¨or best¨allning via PICMG-s hemsida [9]. Flertalet specifikationer finns en-dast tillg¨angliga f¨or medlemmar i PCISIG. Medlemskap erh˚alls vid erlagd medlemsavgift, mer om detta finns att l¨asa p˚a deras hemsida [12].

(22)
(23)

Kapitel 3

Systemarkitektur

Datainsamlingskortet ska kunna sampla en analog signal och f¨ora den vi-dare till en PC. Systemet kommer d˚a best˚a av ett kort, ett chassi, se figur 3.3, i vilket kortet kan placeras och en processor som kan hantera och spara ner datat till en h˚arddisk.

Den analoga insignalen som ska samlas in ansluts till en SMA-kontakt som

Figur 3.1: Systembeskrivning

f¨or vidare signalen till front-enden, se figur 3.2. Frontenden ¨ar uppbyggd av en ett-till-ett transformator som tillsammans med n˚agra motst˚and gener-erar ett differentiellt signalpar. Ett differentiellt signalpar kan genereras antingen via en transformator eller en differentiell operationsf¨orst¨arkare. Till b˚ada inkrementen valdes en transformatorl¨osning, i enlighet med dat-abladet [17] f¨or kretsen, eftersom den inte genererar n˚agot extra brus och s˚a lite brus som m¨ojligt ¨ar ¨onskv¨art. Tv˚a transformatorer ¨ar kopplade i serie f¨or att minska missanpassningen som uppst˚ar d˚a signalen passerar en trans-formator. I ADC-erna tas den analoga signalen emot och omvandlas till en

(24)

10 KAPITEL 3. SYSTEMARKITEKTUR

Figur 3.2: Frontend

digital signal som f¨ors vidare till FPGA-n. I FPGA-n kan sedan ¨onskad signalbehndling utf¨oras. Till exempel kan SP Devices algoritm l¨aggas in i FPGA-n f¨or att r¨atta tidsskevning och amplitudfel som uppst˚ar d˚a tv˚a interleavade ADC-er anv¨ands f¨or att sampla en analog signal. N˚agot som dock inte kommer ing˚a i det h¨ar arbetet. Signalen n˚ar sedan bakplanet p˚a chassit via en f¨or standarden specifik kontakt. ¨Overf¨oringen mellan FPGA-n och koFPGA-ntakteFPGA-n sker med hastigheteFPGA-n 2.5 Gbps per l¨ank. Detaljer ang˚aende ¨

overf¨oringen finns i avsnitt 2.2.1. Fr˚an bakplanet f¨ors signalen vidare till processorn som hanterar datat och sparar ner det p˚a en h˚arddisk.

3.1

Chassi och PC

Chassi och processor f¨or applikationen best¨alldes fr˚an National Instru-ments. Det ¨ar ett 8-slots PXI Express chassi med tv˚a hybridplatser i vilka

Compact PCI Express-kort passar. F¨or att kunna hantera och presentera

insamlat data installerades LabView.

3.2

att att angripa problemet

Ett utvecklingskort fr˚an PLDA [13] med PCI Express-interface finns som referens. Det fysiska kortet och tillh¨orande information och mjukvara skulle fungera som en guide f¨or uppgiften. Med mjukvaran f¨oljde ocks˚a en IP-k¨arna som med endast lite modifieringar i UCF-filen (User Constraint File) skulle fungera p˚a Compact PCI Express-kortet. Som tidigare n¨amnts har flera datainsamlingskort utvecklats p˚a SP Devices. Flera delar p˚a sche-maniv˚a kunde d¨arf¨or ˚ateranv¨andas i designen. Till exempel ¨andrades endast n˚agra f˚a detaljer i schemat f¨or ADC-erna medan schemat f¨or flashminnet kunde anv¨andas rakt av. F¨or att f˚a f¨orst˚aelse f¨or uppgiften och standard-en s¨oktes information i ¨amnet parallellt med att komponenter best¨alldes. Arbetet ¨overgick sedan ganska raskt i design av f¨orsta kortet.

(25)

3.3. KOMPONENTER 11

Figur 3.3: PXI Express-chassi

3.3

Komponenter

Nedanst˚aende stycke syftar till att redog¨ora f¨or vilka komponenter som har valts och motivera varf¨or de valts. Vissa komponenter och tillbeh¨or visade sig vara l¨attillg¨angliga och kunde best¨allas med n˚agra dagars leveranstid, medan till exempel kontaktdonen f¨or Compact PCI Express i ett f¨orsta skede var lite sv˚arare att f˚a tag p˚a. ¨Aven ledtiderna p˚a FPGA-er visade sig kunna vara l˚anga.

3.3.1

ADC

P˚a kortet sitter ADC-er som kommer fr˚an Texas Instruments, det ¨ar tv˚a

stycken ADS5547 med 210 MSP (Mega Sampels) och 14-bitars uppl¨osning.

Motiveringen till att dessa anv¨andes ¨ar att en del av designen hos tidigare utvecklade kort p˚a s˚a s¨att kunde ˚ateranv¨andas. ADC-erna medger en band-bredd p˚a 800 MHz och ett signalsving p˚a 2Vp−phos insignalen. Utisgnalen ¨

ar av typen LVDS DDR (Low Voltage Differential Signaling Double Data Rate) eller CMOS (Complementary Metal Oxide Semiconductor) beroende p˚a vilken mod som v¨aljs. En f¨orenklad skiss p˚a kretsen finns att se i figur 3.4. ADC-erna drivs med s˚av¨al analog som digital sp¨anning p˚a 3.3V . Den analoga delen i ADC-erna ¨ar k¨ansligare f¨or brus ¨an den digitala och kr¨aver d¨arf¨or en stabilare sp¨anning kring 3.3V ¨an vad en digital sp¨anning p˚a 3.3V

medger. Kringkomponenter som motst˚and och kondensatorer valdes helt

(26)

12 KAPITEL 3. SYSTEMARKITEKTUR

Figur 3.4: F¨orenklat kretschema f¨or ADS5547

3.3.2

FPGA

Kravet p˚a den h¨oga ¨overf¨oringsshastigheten satte begr¨ansningar p˚a vilken

FPGA som kunde anv¨andas. Att just en Viretx-5 med beteckning LX50T

anv¨andes f¨orklaras bland annat med att det ¨ar den typen av FPGA som sitter p˚a utvecklingskortet fr˚an PLDA och som finns som referens f¨or pro-jektet. FPGA-er av typen LXT ¨ar utrustade med specifika block som klarar hanteringen av PCI Express. Blocken kallas RocketIO GTP och PCI Ex-press Endpoint Block. F¨or att h˚alla ner kostnaden valdes en FPGA med tillr¨ackligt m˚anga IO-pinnar vilket resulterade i typen FF665 med 665 pin-nar ist¨allet f¨or referenskortets FPGA som har 1136 pinnar. FPGA-n drivs med fyra olika sp¨anningar, vilket inneb¨ar att fler olika regulatorer kr¨avdes. K¨arnsp¨anningen ligger p˚a 1.0V och de olika bankerna beh¨over 2.5V , 3.3V och 1.2V beroende p˚a vilka signaler som ¨ar kopplade till respektive bank. Till exempel ska RocketIO blocket drivas med en analog 1.2V sp¨anning.

RocketIO GTP Transceivers

RocketIO-blocket ¨ar uppbyggt av flera transceivers, som kallas GTP DUAL teils. Dessa teils inneh˚aller i sin tur tv˚a transceivers, GTP0 och GTP1, och en gemensam del f¨or bland annat klockning och sp¨anningsf¨ors¨orjning. Varje GTP-del hanterar tv˚a differentiella signalpar, ett mottagarpar (MGTRX)

(27)

3.3. KOMPONENTER 13

Figur 3.5: GTP DUAL teil

3.3.3

Regulatorer

Flera olika faktorer p˚averkade valet av regulatorer. Dels m˚aste regulator-erna klara att omvandla 12V till betydligt l¨agre i vissa fall b˚ade analog och digital sp¨anning och dels underl¨attades arbetet om samma sorts regulatorer som anv¨ants i designer till tidigare utvecklade kort kunde anv¨andas. Det visade sig att samtliga regulatorer kunde v¨aljas fr˚an Texas Instruments och flertalet av dem var av en sort som har anv¨ants till tidigare producerade kort.

Som tidigare n¨amnts beh¨over kortet sp¨anningss¨attas med flera olika sp¨ ann-ngar f¨or att f¨ors¨orja alla komponenter. 12V , 3.3V och 5V finns tillg¨angligt via chassits bakplan. Compact PCI Express f˚ar st¨orre delen av effekten via 12V matningen. I hybridsloten finns maximalt 2A tillg¨angligt via 12V

-pinnarna medan 3A kan f˚as genom pinnarna f¨or 3.3V . ¨Aven 5V finns

tillg¨angligt men dock bara 1A, vilket inte r¨acker f¨or att driva alla kret-sar p˚a kortet.

(28)

14 KAPITEL 3. SYSTEMARKITEKTUR

Figur 3.6: eHM Figur 3.7: ADF

I I1 tas 3.3V direkt fr˚an en av kontakterna p˚a kortet. I2 f˚ar en annan utformning som beskrivs i stycke 3.3.4. Tv˚a olika sp¨anningsniv˚aer och fem olika sp¨anningar kr¨avs, vilket resulterar i fyra switchade

regulator-er med beteckningen PTH08080W och en linj¨ar LDO regulator ur serien

REG104 till I2. Kortet till I1 utrustades med tre switchade regulator-er. Switchfrekvensen f¨or PTH08080W ¨ar 300 kHz, vilket ¨ar intressant ur st¨orsynpunkt d˚a grundtonen och alla ¨overtoner till 300 kHz kan st¨ora s˚av¨al andra sp¨anningsplan som signaler. Enligt datablad f¨or respektive regulator valdes l¨ampliga motst˚and och kondensatorer se [15] och [16].

3.3.4

Kontakter

Standarden f¨or Compact PCI Express styr helt vilka kontakter som kan

anv¨andas d˚a kortet ska passa i en i en hybridslot. Dessa pressfit kontakter ¨

ar av typerna Advanced Differential Fabric (ADF) och enriched Hard Met-ric (eHM), se figur 3.3.4. Den h¨ogfrekventa data¨overf¨oringen hanteras via ADF. Kontakten har en d¨ampning mindre ¨an 1 dB vid 3 GHz och en egen-impedans p˚a 100Ω, [11]. Kontakten eHM anv¨ands f¨or sp¨anningsmatning och en del IO-signaler.

Till I2 f¨or¨andrades designen f¨or ¨okad flexibilitet. Kortet utformades nu s˚a att det skulle kunna drivas antingen via ett chassi eller en 12V switchad n¨atadapter. Till¨agget blev d˚a en batterieleminatorkontakt.

3.3.5

Flashminne

F¨or att slippa ladda FPGA-n med mjukvara manuellt varje g˚ang kortet

sp¨anningss¨atts utrustades det med ett flashminne. Kravet p˚a minnet var endast att det skulle vara tillr¨ackligt stort f¨or att rymma en bitfil och att det skulle ha l¨ampligt gr¨anssnitt f¨or att kunna fungera ihop med FPGA-n. D¨arf¨or valdes kretsen 45DB161D fr˚an Atmel.

(29)

3.4. SIGNALER 15

3.3.6

Klockkrets och kristalloscillator

Det beh¨ovs en extern klocka som styr ADC-ernas samplingshastighet. Via tv˚a SMA-kontakter kopplas en differentiell klocksignal, som genereras av en pulsgenerator, in p˚a kortet. En klockbuffer, CDCP1803 fr˚an Texas Instru-ments, delar sedan upp klocksignalen i tv˚a signaler med 180 graders fasskill-nad och distribuerar dessa vidare till ADC-erna. De uppdelade signalerna har halva ursprungsfrekvensen. Orsaken till att klocksignalen f˚ar halverad frekvens ¨ar att tidsskevning uppst˚ar om klockan ist¨allet ska passera n˚agon logik i form av till exempel en inverterare. Klocksignalen fasvrids 180 grad-er f¨or att ADC-erna ska kunna turas om att sampla den analoga signalen, allts˚a fungera tidsinterleavade.

P˚a kortet sitter en kristalloscillator p˚a 33 MHz som beh¨ovs f¨or att kon-figurera ADC-erna och USB-delens serieinterface.

3.3.7

USB

De tv˚a inkrementen skiljer sig ˚at n¨ar det g¨aller USB-delen. I linje med ra-men f¨or uppgiften ˚ateranv¨andes designen f¨or tidigare konstruerade kort till I1. Det inneb¨ar att f¨orsta kortet utrustades med USB 1.1. Ett FTDI-chip

anv¨ands som hanterar USB-protokollet och sk¨oter kommunikationen med

datorn. Valet att anv¨anda kretsen FT232RQ f¨oll helt enkelt p˚a att ocks˚a den har anv¨ants i tidigare designer.

Till I2 f¨orb¨attrades prestandan med en USB 2.0-l¨osning. FTDI-chipet byttes d¨arf¨or ut mot kretsen CYPRESS som medger ¨overf¨oringshastigheter p˚a 480 Mbps ist¨allet f¨or FTDI-chipets 3 Mbps. Chipet adresserar endpoints i ett fifo och sk¨oter, p˚a samma s¨att som kretsen till I1, all kommunikation med datorn. F¨ardiga drivrutiner finns dessutom att tillg˚a.

3.3.8

Lysdioder och switchar

Tv˚a r¨oda och tv˚a gr¨ona ytmonterade lysdioder ¨ar placerade p˚a kortet. De ¨

ar till f¨or att underl¨atta vid fels¨okning och mjukvarukontroll. Det finns ett DIP-switchblock om sex omkopplare som anv¨ands f¨or att kommunicera

med FPGA-n under k¨orning.

3.4

Signaler

Single ended-signaler som ¨ar den enklaste signaltypen f¨or signal¨overf¨oring begr¨ansar ¨overf¨oringshastigheten och kan varken anv¨andas till datatrans-missionen mellan FPGA och kontakter eller mellan FPGA och ADC-er. Kretsarna drivs med l˚ag sp¨anning f¨or att minska effektf¨orbrukning och o¨onskad str˚alning. L˚ag sp¨anningsf¨ors¨orjning medf¨or att de digitala signaler-na skiljs ˚at p˚a ett litet sp¨anningsintervall. Det inneb¨ar att signalerna har

(30)

16 KAPITEL 3. SYSTEMARKITEKTUR

liten motst˚andskraft mot st¨orningar.

F¨or att ¨oka p˚a brust˚aligheten anv¨ands ofta differentiell signalering som ¨

ar betydligt mindre k¨anslig f¨or st¨orningar, eftersom mottagaren av den dif-ferentiella signalen l¨aser av skillnaden mellan signalernas sp¨anningsniv˚aer. Mottagaren av en singel ended-signal l¨aser av sp¨anningsniv˚an p˚a signalen relativt jord (Vs - 0 = Vs), medan mottagaren av en differentiell signal l¨aser av skillnaden i sp¨anning mellan signalparen (Vs - (-Vs) = 2Vs). Det kan d˚a konstateras att metoden med differentiell signalering blir h¨alften s˚a brusk¨anslig. D¨arf¨or ¨ar FPGA-n och ADC-erna som hanterar h¨ogfrekventa signaler konstruerade s˚a att de mottar och skickar vidare differentiella sig-naler. Dessa differentiella signaler har flera f¨ordelar framf¨or single-ended. Signaleringssystemet ¨ar som nyss n¨amnts inte lika st¨ork¨ansligt och det erb-juder ocks˚a h¨ogre hastighet, l¨agre EMI (elektromagnetsik interferens) och mycket l¨agre effektf¨orbrukning. Tv˚a typer av differentiella signaler, LVDS och LVPECL (low voltage positive emitter coupled logic), anv¨ands f¨or da-ta¨overf¨oring och klockning p˚a korten. Vilken signaltyp som ska anv¨andas var framg˚ar i specifikationer och datablad f¨or kretsarna och kontakterna. F¨or att undvika studsar kr¨aver de differentiella signalerna speciell

terminer-ing beroende p˚a vilken impedans de har. LVPECL drivs med 3.3V medan

LVDS drivs med 2.5V . Mer om differentiella signaler och terminering finns att l¨asa i stycke 4.2.1 och [?]. Signaler i designen som inte f¨ors ¨over med h¨og hastighet ¨ar single ended-signaler av typen CMOS.

3.4.1

Referensklocka

En referensklocka p˚a 100 MHz finns tillg¨anglig via bakplanet p˚a chassit. Referensklockans uppgift ¨ar att driva logiken i RocketIO-delen och har in-genting med data¨overf¨oringen att g¨ora. Klocksignalen ¨ar av typen LVPECL och ska enligt [11] AC-kopplas, n˚agot som f¨orklaras n¨armare i stycke 4.3.

(31)

Kapitel 4

PCB-design

Vid design och tillverkning av m¨onsterkort b¨or en hel del olika faktorer uppm¨arksammas, till exempel ledarnas egenskaper och sp¨anningsplanens p˚averkan. Vid l˚aga frekvenser och sm˚a str¨ommar fungerar kortets ledare som kortslutningar och m¨onsterkortet f˚ar en mer mekanisk uppgift. Vid h¨ogre frekvenser d¨aremot fungerar ledarna inte alls som kortslutningar l¨angre utan har s˚a stor reaktans att den inte kan f¨orsummas. Det inneb¨ar i sin tur att m¨onsterkortet i h¨ogsta grad m˚aste betraktas som en kritisk komponent. F¨oljande avsnitt tar upp n˚agra av de aspekter som b¨or beaktas vid h¨ogre frekvenser.

4.1

Kortuppbyggnad

B˚ada korten ¨ar uppbyggda av ˚atta lager, n˚agot som visade sig n¨odv¨andigt. Dels f¨or att kunna f˚a ut de olika sp¨anningarna till alla komponenter och dels f¨or att datatransmissionen fr˚an FPGA-n till kontakterna kr¨avde flera lager att routas p˚a.

Tjockleken p˚a kortet f˚ar enligt [11] inte ¨overstiga 1.6 ± 0.2 mm och det ¨

ar ett tillr¨ackligt gener¨ost krav f¨or att det billigaste alternativet n¨ar det g¨aller uppbyggnad av de specifika lagren kunde v¨aljas. Jordplan valdes p˚a lager tv˚a och lager sju f¨or att bland annat sk¨arma de olika signallagren. Av samma anledning anv¨andes ocks˚a lager fyra (innerlager tv˚a) och fem (in-nerlager tre) fr¨amst till sp¨anningsmatning, se figur 4.1. F¨or att f˚a plats med alla vior och signalledningar under FPGA-n routades kortet med minsta m¨ojliga isolationsavst˚and, sett ur tillverkarsynpunkt. Som standardbredd p˚a ledningarna valdes 0.2 mm. Generellt sett f¨ors¨okte routingen anpassas efter det billigaste alternativet hos tillverkaren men viorna under FPGA-n routades mindre eftersom det ¨ar ont om plats mellan alla paddar.

(32)

18 KAPITEL 4. PCB-DESIGN

Figur 4.1: Lageruppbyggnad

4.2

Ledningar

F¨or att en signal ska kunna transporteras fr˚an en punkt till en annan kr¨avs en signalledning och ett referensplan. Dessa tillsammans bildar en transmis-sionledning, se figur 4.2. Eftersom transmissionsledningar har en impedans m˚aste drivare och mottagare anpassas till ledningen f¨or att inte o¨onskade reflektioner ska st¨ora signalen, [21]. Ekvation 4.1 anger impedansen Zosom

Figur 4.2: Transmissionsledning

funktion av induktansen L och kapacitansen C i en transmissionsledning. Dess karakt¨aristiska impedans beror p˚a ledarens geometri, avst˚and till jord-plan och isolationsegenskaper. I stycke 4.2.2 ges en mer detaljerad beskrivn-ing av impedansmatchnbeskrivn-ing genom l¨amplig terminering av ledningar.

Zo=

r L

(33)

4.2. LEDNINGAR 19

4.2.1

Differentiella signaler

LVDS ¨ar en speciell sorts standard f¨or differentiell datatransmission. Meto-den medf¨or mycket h¨oga datahastigheter till liten effektf¨orbrukning. Andra f¨ordelar med LVDS ¨ar att de genererar mindre brus i f¨or˚allande till exem-pelvis signaler som single-ended, dessutom ¨ar de brust˚aliga, [7].

Ett differentiellt signalpar best˚ar av den direkta signalen och dess komple-ment som kopplas synkront och impedansanpassas. Mottagaren av LVDS l¨aser av differensen mellan signalerna och p˚a s˚a s¨att blir metoden inte k¨anslig f¨or brus som kopplas till ledningarna som common-mode. Att LVDS tenderar att str˚ala mindre beror p˚a att magnetf¨alten tar ut varandra, [1]. Vid data¨overf¨oring med h¨og frekvens ¨ar det viktigt att ledningarna f˚ar l¨amplig impedans och r¨att terminering. Det ¨ar annars stor risk f¨or att det uppst˚ar o¨onskde reflektioner som f¨ors¨amrar signalen. F¨or att ytterligare minska risken f¨or reflektioner finns rekommendationer om att ledningar-na b¨or routas med 45 grader ist¨allet f¨or 90 graders vinklar [22]. I annan dokumentation tydligg¨ors att h¨ornens utformning inte alls p˚averkar upp-komsten av reflektioner s˚a mycket som till exempel vior och kontakter g¨or. D¨aremot uppst˚ar tidsskevning mellan signalerna i det differentiella paret vid h¨orn p˚a ledningarna oavsett vinkel. Mindre tidsskevning f˚as vid 45 grader ¨an vid 90 grader. Tidsskevning kan komma att p˚averka tolkningen av signalen om signalens stigtid inte ¨ar avsev¨art l¨angre ¨an avst˚andet som orsakar tidsskevningen, [2]. Data¨overf¨oring p˚a n˚agra GHz har signaler med kort stigtid och d¨arf¨or ¨ar en ¨ovre gr¨ans i l¨angdskillnad mellan ledningarna 5 mil (0.127 mm) f¨or att undvika tidsskevning [23]. Ledningarna i det dif-ferentiella paret b¨or routas s˚a n¨ara varandra som m¨ojligt f¨or att eleminera risken f¨or kopplat brus av annan sort ¨an common-mode.

Ledningarnas impedans best¨ams av bland annat bredd och isolationsavst˚and p˚a ledningsparet. Med hj¨alp av ekvationerna 4.2 och 4.3 kan r¨att bredd ber¨aknas, givet en specifik impedans, [7]. I [11] finns det angivet att impeda-nsen hos RX och TX ska vara 100Ω. Impedaimpeda-nsen skiljer sig om ledningarna ¨

ar av typen striplines (¨overst och understa lagren p˚a m¨onsterkortet) eller microstrips (mellan lagren p˚a m¨onsterkortet). F¨or microstrips g¨aller att

Zo= √ 60 0.457εr+ 0.67 log  4h 0.67(0.8W + t)  (4.2) och f¨or striplines att

Zo= 60 √ εr log  4h 0.67π(0.8W + t)  . (4.3)

I ekvationen 4.2 och 4.3 ¨ar W ledarens bredd och h h¨ojden fr˚an jordplan-et till ledningen. Koppartjockleken bjordplan-etecknas med t och S ¨ar isolation-savst˚andet, vilket b¨or v¨aljas s˚a litet som m¨ojligt med h¨ansyn till krav fr˚an

(34)

20 KAPITEL 4. PCB-DESIGN

tillverkaren. Materialets dielektriska konstant betecknas εr. B˚ada korten ¨ar

av FR4-laminat med εr≈ 4.4.

4.2.2

Terminering

F¨or att eliminera reflektioner b¨or ledningar termineras i mottagar¨anden. Ledningarna har impedansen ZL, d¨ar

ZL= RL+ jXL.

Genom att anpassa en last Zs= Rs+ jXs, som utg¨or komplexkonjugatet

till ledningens impedans, erh˚alls impedansmatchning. Allts˚a har vi att

Rs= RL,

Xs= −XL.

I den FPGA som anv¨ands till prototypkortet termineras signalerna internt. Termineringen kopplas parallellt med mottagaren f¨or att anpassa motta-garen s˚a bra som m¨ojligt till ledningen.

4.2.3

Vior

F¨or att f¨orbinda de olika lagren anv¨ands vior. Den vanligaste och billigaste typen av via ¨ar ett genompl¨aterat h˚al med h˚aldiameter ner till 0.2 mm. Med laserteknik kan ocks˚a mikrovior, blinda vior och dolda vior som kan sp¨anna ¨

over godtyckliga lager skapas. Olika viatyper ger olika egenskaper, vilket b¨or uppm¨arksammas vid h¨oga frekvenser och vid kraftiga str¨ommar. Precis som en ledare fungerar inte heller en via som en ideal kortslutning vid h¨oga frekvenser. Eftersom tekniken med laser ¨ar betydligt mycket dyrare s˚a har b˚ada de tillverkade m¨onsterkorten konstruerats med genomborrade vior. Viorna utg¨or diskreta kapacitanser som d¨ampar h¨oga frekvenser. Generellt ger sm˚a vior och stort isolationsavst˚and mellan viapad och jordplan mindre kapacitans.

4.2.4

Jordplan och returstr¨

ommar

Kortet ¨ar utrustat med tv˚a jordplan, dels f¨or att underl¨atta distributio-nen av jord och dels f¨or att m¨ojligg¨ora v¨ag f¨or returstr¨ommar. Som tidi-gare n¨amnts sk¨armar jordplanen dessutom de olika signallagren. Tv˚a lager anv¨andes fr¨amst f¨or sp¨anningsmatning till FPGA-n och de olika regu-latorerna. F¨or att minska risken f¨or brusp˚averkan delades det analoga sp¨anningsplanet till ADC-erna upp i tv˚a delar, en f¨or respektive ADC.

¨

Aven det digitala sp¨anningsplanet p˚a 3.3V som t¨acker hela lager fyra de-lades upp under ADC-erna och skiljdes ˚at med ett jordplan.

(35)

4.3. AC-KOPPLING 21

Str¨om som flyter i en signalledning har en lika stor motriktad str¨om som flyter i ett referensplan under ledningen. D˚a en signalledning byter riktning, till exempel lagerbyte via en via, kommer returstr¨ommen ocks˚a att ¨andra riktning. F¨or att inte drabbas av diskontinuitet hos returstr¨ommarna d˚a en signal byter lager fr˚an topplager till bottenlager eller vice versa placeras en jordvia precis bredvid signalvian. Figur 4.3 illustrerar hur jordviorna placeras intill vior till ett differenteillt signalpar. Det h¨ar s¨attet att placera vior kallas GSSG-vior (Ground-Signal-Signal-Ground).

Det ¨ar viktigt att jordlagret som ska distribuera returstr¨ommar inte ¨ar split-tad precis under en signalledning, eftersom diskontinuitet i returstr¨ommen annars erh˚alls.

Figur 4.3: GSSG-vior

4.3

AC-koppling

Referensklockan och mottagarparen p˚a FPGA-n kr¨aver AC-koppling f¨or att likstr¨omskomponenten som induceras i ledningsparet ska filtreras bort.

Den inducerade st¨orsp¨anningen kallas common mode voltage (CMV) och

ger b˚ada ledningarna samma sp¨anningsniv˚a till jord. Eftersom FPGA-n ¨

ar k¨anslig f¨or den typen av st¨orningar ¨ar det viktigt att AC-koppla de h¨ogfrekventa signalledningar genom att koppla en kondensator i serie med signalen, se figur 4.4.

(36)

22 KAPITEL 4. PCB-DESIGN

Figur 4.4: AC-koppling

4.4

DC-koppling

H¨ogfrekventa st¨orningar drabbar de flesta konstruktioner. Kretsar f¨or till exempel klockning och kommunikation ¨ar mer k¨ansliga f¨or dessa st¨orningar ¨

an andra. St¨orningarna kan komma fr˚an matningsk¨allan eller andra de-lar av konstruktionen s˚a som andra n¨arliggande ledningar. Oavs¨att k¨alla m˚aste st¨orningarna filtreras bort antingen via avkopplingskondensatorer eller ordin¨ara filter uppbyggda av motst˚and, spolar och kondensatorer. Of-tast r¨acker det med att koppla en kondensator s˚a n¨ara kretsens matningsben som m¨ojligt f¨or att uppn˚a ¨onskad effekt och p˚a s˚a s¨att skapa avkoppling, se figur 4.5. Placeringen n¨ara matningsbenet minskar risken f¨or att nya st¨orningar kan fortplanta sig i ledningen. N¨arliggande avkopplingskonden-satorer levererar laddning som kan t¨acka str¨omspikarna. De fungerar som energireservoarer. Ut¨over kapacitans har en kondensator b˚ade serieinduk-tans och serieresisserieinduk-tans vilket g¨or att en kondensators storlek, typ och v¨arde p˚averkar filtreringen. Till de b˚ada inkrementen har kondensatorer valts en-ligt rekommendationer i specifikationerna f¨or de olika kretsarna.

Figur 4.5: DC-koppling

4.5

Overh¨

¨

orning

Fenomenet ¨overh¨orning uppst˚ar d˚a en signalledning st¨or en annan sig-nalledning, genom karakt¨aren av antingen konduktiv, induktiv eller kapaci-tiv koppling. F¨ora att undvika den h¨ar typen av st¨orningar b¨or st¨ork¨ansliga signalledningar inte placeras precis ¨over, under eller t¨att intill brusgenerer-ande signalledningar l˚anga str¨ackor, [5]. Ytterligare ett s¨att att minska

(37)

4.6. ROUTING AV PCI EXPRESS-BUSSEN 23

risken f¨or ¨overh¨orning ¨ar att, som tidigare n¨amnts, anv¨anda sig av differ-entiella signaler som inte ¨ar lika k¨ansliga f¨or st¨orningar som singel ended-signaler. F¨or att undvika ¨overh¨orning routades d¨arf¨or b˚ada kortens st¨ork¨ an-sliga ledningar enligt principen f¨or differentiell signalering. Dessutom plan-erades ledningsdragningen s˚a att ledningsparen inte hamnade precis un-der eller bredvid varandra. Delar som har beaktats ¨ar den h¨ogfrekventa ¨

overf¨oringen mellan n och ADF-kontakten och ADC-erna och

FPGA-n.

4.6

Routing av PCI Express-bussen

Routingen av de differentiella signalerna fr˚an FPGA-n till ADF-kontakten var en del i arbetet som gjordes om m˚anga g˚anger. Det berodde p˚a att varje lane kopplades p˚a motsvarande s¨att som kopplingarna p˚a referenskoret fr˚an PLDA. Motiveringen till den kopplingen var att det inte tydligt framgick i specifikationerna hur de olika l¨ankarna skulle kunna kopplas till FPGA-n. Ett s¨att att l¨osa problemet p˚a var att pinnmappa mellan referenskortets FPGA och den FPGA som anv¨ands till prototypkortet. Det fick till f¨oljd att bussen blev sv˚arroutad eftersom m˚anga signalpar korsade varandra. Aspekten om signalintegritet fanns inte med fr˚an b¨orjan. Med ¨okad insikt i hur signalerna kunde komma att p˚averka varandra gjordes d¨arf¨or ocks˚a flera ¨andringar sent i routingarbetet. Till exempel flyttades vissa ledningar fr˚an ett lager till ett annat f¨or att minska risken f¨or ¨overh¨orning. Vior lades ocks˚a till f¨or att underl¨atta f¨or returstr¨ommar. Flera f¨ors¨ok gjordes att routa bussen s˚a optimalt som m¨ojligt med avseende p˚a lagerbyte. Fr˚agan att besvara till I2 blev: Kan de olika bankerna i RocketIO delen anv¨andas till godtyckliga mottagar- och s¨andarpar. Enligt diskussion med supporten p˚a PLDA fanns inte den valm¨ojligheten att sj¨alv avg¨ora vilken bank som skulle anv¨andas. Senare funna dokument [19] fr˚an bland annat Xilinx styrkte tankarna om att kunna v¨alja att koppla l¨ankarna p˚a ett s¨att som var mest naturligt, s˚a att s˚a f˚a ledningar som m¨ojligt beh¨ovde korsa varandra.

4.7

Tillverkning och montering

F¨or att designa korten anv¨andes CAD-verktyget Althium. Programmet har m˚anga finesser som kan underl¨attar ritarbetet. Komponenter och tillbeh¨or best¨alldes fr˚an flera olika leverant¨orer, fullst¨andig lista ¨over kretsar och kon-tkter inneh˚allandes leverant¨orer, priser och artikelnummer finns ˚atergivet

i Appendix. B˚ada korten tillverkades av Elprint [4] och komponenterna

(38)
(39)

Kapitel 5

Testning och fels¨

okning

Det h¨ar kapitlet beskriver metoder som anv¨andes f¨or tesning och fels¨okning av kortet till I1. Resultatet av fels¨okningsarbetet kom att ligga till grund f¨or de f¨or¨andringar och f¨orb¨attringar som utf¨ordes under I2. Under f¨orsta delen i testfasen sp¨anningssattes kortet via ett sp¨anningsaggregat som lev-ererade b˚ade 3.3V och 12V , dels f¨or att l¨attare kunna m¨ata p˚a kortet och dels f¨or att inte f¨orst¨ora chassit om felaktigheter skulle uppst˚a. F¨or att kunna sp¨anningss¨atta kortet p˚a ett enkelt s¨att utrustades kortet med tre matningspinnar, en f¨or 3.3V , en f¨or 12V och en f¨or jord.

5.1

Sp¨

anningsmatning

Med multimeter m¨attes sp¨anningen till och fr˚an regulatorerna samt jord-punkter. En av regulatorerna hade f˚att fel v¨arde p˚a ett motst˚and och det ledde till att en alldeles f¨or h¨og sp¨anning levererades. Felet kunde enkelt ˚atg¨ardas genom att motst˚andet byttes ut. F¨or att ocks˚a kontrollera att de utlagda polygonerna fick r¨att sp¨anning m¨attes det p˚a en del av mat-ningspinnarna till de ¨ovriga kretsarna. De olika sp¨anningsplanen hade p˚a kortet till I1 lagts ut enligt tips och rekommendationer. D˚a en del av dessa sp¨anningsplan bytte lager var det intressant att m¨ata p˚a vilket motst˚and de m¨otte p˚a sin v¨ag. Det visade sig att tillr¨ackligt m˚anga vior hade lags ut och genomstr¨omningen var d¨arf¨or god.

5.2

Verifiering av kontaktdonen

Vid matning fr˚an chassi sp¨annings¨atts kortet med 3V och 12V via eHM-kontakten. Enklaste s¨attet att kontrollera pinnarna p˚a kontakten blev d¨arf¨or att m¨ata p˚a de sp¨anningsmatande pinnarna. Det visade sig att footprinten hade blivit felnumrerad. N˚agot som gick att ˚atg¨arda med lite modifiering av kontakten och lite l¨odarbete. Eftersom alla signaler fr˚an ADF-donet byter

(40)

26 KAPITEL 5. TESTNING OCH FELS ¨OKNING

lager eller routas uteslutande p˚a ¨oversta lagret gick det att kontrollera kop-plingarna via viorna. Inga brister uppt¨acktes hos den kontakten.

5.3

Data¨

overf¨

oring via USB

Data¨overf¨oringen testades via USB-kontakten genom att skicka

ASCII-tecken via en anslutande dators hyperterminal till FPGA-n p˚a kortet. F¨or att kontrollera att kommunikationen fungerade skickades handskakningssig-nalerna ut p˚a lysdioderna. H¨ar uppstod inga problem och ¨overf¨oringen fungerade som det var t¨ankt.

5.4

Verifiering av extern klocka och ADC-er

F¨or att kontrollera om klockningen fungerade kopplades en extern differ-entiell klocksignal in via tv˚a SMA-kontakter p˚a kortet. Klockfrekvensen

styr ADC-ernas samplingshastighet. F¨or att se om ADC-erna tog emot

klocksignalen skapades en r¨aknare med 28 bitar som r¨aknade upp f¨or varje klockpuls, de tv˚a mest signifikanta bitarna lades sedan ut p˚a lysdioderna. Om klockfrekvensen sattes till 100 MHz s˚a skulle dioderna blinka med en frekvens p˚a n˚agon sekund, vilket de ocks˚a gjorde. Allts˚a fungerade klock-ningen. Eftersom den externa klockan styr ADC-erna var det nu l¨att att verifiera om ocks˚a dessa fungerade korrekt genom att l˚ata ADC-erna sam-pla en inkommande analog signal via frontenden och f¨ora den vidare via FPGA och USB till dator. Inga fel kunde hittas.

5.5

Compct PCI Express-kommunikation

Efter att ha verifierat sp¨anningsmatningen och data¨overf¨oringen mellan ADC-er och FPGA ˚aterstod verifiering av h¨oghastighetsdelen. Kortet

plac-erades i chassit och FPGA-n programmplac-erades med IP-k¨arnan fr˚an PLDA.

En del ¨andringar och till¨agg gjordes i UCF-filen f¨or att portarna p˚a mjuk-varuniv˚a skulle st¨amma ¨overens med de fysiska kopplingarna p˚a kortet. D˚a PC-n startar l¨aser den av vilka PCI Express-enheter som finns anslutna p˚a bussen och om kommunikationen fungerade skulle kortet uppfattas av pro-cessorn. Ingen indikation fanns om att datorn uppfattade att kortet fanns i sloten s˚a fels¨okningsarbete enligt beskrivning i stycke 5.5.1 och 5.5.2 tog vid.

5.5.1

Programmering av FPGA-n

En anledning till att datorn inte uppfattde kortet kunde vara att FPGA-n iFPGA-nte var programmerad i iFPGA-nitieriFPGA-ngsfaseFPGA-n d˚a datorn l¨aste av enheter-na p˚a bussen. Ett test blev d¨arf¨or att starta om datorn med kortet i sloten och FPGA-n programmerad. Det visade sig att kortet hela tiden

(41)

5.5. COMPCT PCI EXPRESS-KOMMUNIKATION 27

var sp¨anningssatt under omstartsfasen vilket betydde att FPGA-n var pro-grammerad d˚a enheter p˚a bussen l¨astes av. Orsaken till att ingen kommu-nikation kunde verifieras hade allts˚a inte med detta att g¨ora.

5.5.2

Verifiering av referensklocka

Utan fungerande referensklocka fungerar inte logiken i RocketIO-del och det medf¨or att ingen data kan f¨oras ¨over. En id´e var d¨arf¨or att kontrollera att referensklockan n˚adde kortet. Med oscilloskop m¨attes det p˚a pinnarna som tar emot den differentiella klocksignalen fr˚an chassit. Ingen klocksig-nal kunde detekteras. Det visade sig efter en hel del huvudbry och letande i manualen [11] att ocks˚a signalen PRSNT (Present Detect Input) skulle vara jordad, och att MPWRGD (Module Power Good) borde vara kop-plad h¨og. MPWRGD indikerar till systemet att kortsp¨anningen ¨ar stabil

och PRSNT att ett kort sitter i sloten. Nu kunde en klocksignal m¨atas

upp men fortfarande kunde ingen kommunikation verifieras. F¨or att avg¨ora om klocksignalen n˚adde FPGA-n gjordes f¨ors¨ok att ocks˚a l¨agga ut refern-sklockan p˚a lysdioderna, men utan resultat. P˚a referenskortet fr˚an PLDA sitter det en PLL-krets som referensklockan ¨ar kopplad till f¨ore FPGA-n, fr˚agan uppstod om en s˚adan beh¨ovdes. Enligt PLDA-s support var inte den kretsen n¨odv¨andig d¨aremot var det viktigt att klocksignalerna var AC-kopplade. N˚agot som saknades p˚a kortet till I1. Dessutom saknade det kortet ocks˚a AC-koppling p˚a TX-ledningarna, vilket framgick av [19] och [11].

(42)
(43)

Kapitel 6

Resultat

Arbetet resulterade i tv˚a tillverkade kort, ett f¨orstudiekort och ett slut-ligt prototypkort. De b˚ada korten ¨ar kompatibla med PXI Express och har formfaktor 3U. Formatet p˚a korten g¨or att de passar i ett PXI chassi. Prototypkortet utrustades med USB 2.0, Compact PCI Express-interface och en ing˚ang f¨or 12V -matning med ett n¨ataggregat. P˚a prototyp-kortet sitter ocks˚a tv˚a ADC-er och en FPGA. Dessutom finns

kringkompo-nenter s˚a som komponenter f¨or klockning och programmering av FPGA-n

som g¨or det m¨ojligt att sampla en analog signal och skicka den vidare via

FPGA och USB till PC. Metoden med att arbeta i tv˚a inkrement gav

re-sultat i form av att m˚alet att ta fram ett h¨oghastighetsdatainsmlingskort n˚addes. F¨orstudiekortet som tillverkades i I1 fyllde sitt syfte att fungera som en utv¨arderingsplattform f¨or att kunna tillverka ett kort utan fel och brister i I2. F¨orstudiekortet i I1 testades och modifierades, vilket gav v¨ardefulla erfarenheter inf¨or tillverkningen av kortet i I2.

6.1

orslag p˚

a f¨

orb¨

attringar

Ett f¨orb¨attrat kort skulle kunna utrustas med minnen och en processor. Fysiskt finns plats p˚a kortet, ¨aven om det kan bli ganska tr˚angt och en

hel del routing kommer beh¨ova g¨oras om. Ett kort utrustat med minne

h¨ojer prestandan eftersom data kan buffras direkt p˚a kortet. Det g˚ar ocks˚a titta p˚a om vissa signaler kan kopplas till andra banker eller pinnar p˚a FPGA-n f¨or att slippa l˚anga ledningar som byter lager och som f¨orsv˚arar routingen. Det ¨ar framf¨orallt intressant om fler signaler ska kopplas till FPGA-n, vilket kan medf¨ora att det blir tr˚angt under kretsen. Ytterligare f¨orslag p˚a f¨orb¨attringar ¨ar att f¨olja de designr˚ad som finns i stycke 6.2.1.

(44)

30 KAPITEL 6. RESULTAT

6.2

Rekommendationer

I ett av dokumenten [20] till FPGA-n finns rekommendationer att anv¨anda en viss sorts regulator f¨or att generera sp¨anningen p˚a 1.2V . Oavsett om just denna anv¨ands eller inte rekommenderas starkt att regulatorn som driver RocketIO-delen byts ut mot en analog regulator. ¨Ovriga rekommendationer g¨aller fr¨amst PCB-design och ¨ar samlade nedan.

6.2.1

PCB-design med en Viretx-5

Anv¨ands en Virtex-5 i designen kan nedanst˚aende rekommendationer f¨oljas f¨or att erh˚alla bra prestanda hos GTP RocketIO

• F¨or att minska risken f¨or st¨orningar ¨ar det f¨ordelaktigt att undvika att anv¨anda ett stort antal IO-pinnar p˚a n¨arliggande banker till de olika

delarna i GTP RocketIO. De n¨arliggande bankerna som riskerar att

st¨ora kommunikationen kallas aggressiva och finns listade i tabellen 6.2.1 nedan, [22]. GTP DUAL FF665 MGT112 12 MGT114 12 MGT116 12/16 MGT118 12/18

Tabell 6.1: Aggressiva banker

• ¨Ar det oundvikligt att anv¨anda n¨arliggande pinnar p˚a de aggresiva bankerna s˚a b¨or sp¨anningsplan eller bredare ledningar n˚agra lager under topplagret p˚a kortet kopplas via blinda vior till de analoga sp¨anningsf¨ors¨orjande pinnarna. Vidare kan jordplan anv¨andas f¨or att sk¨arma av sp¨anningsplan med. D˚a l¨aggs jordplanen under och ovan sp¨anningsplanet.

• Undvik att placera ledningar n¨ara GTP-bankens analoga sp¨ annings-f¨ors¨orjande pinnar. Avst˚anden b¨or som minst vara 1.0 mm horisontalt och vertikalt och 1.4 mm diagonalt.

• Koppla en ferrit och en kondensator till varje MGTAVTTTX-ing˚ang

p˚a FPGA-n. Beskrivningen i [22] ¨ar lite otydlig och det ¨ar l¨att att tro att det r¨acker med en ferrit och kondensator till tv˚a ing˚angar

men i [20] finns det angivet att varje MGTAVTTTX-ing˚ang ska

fil-treras. Det kan vara bra att ha med i tankarna att MGTAVCC och MGTAVCCPLL ¨ar ytterst k¨ansliga f¨or st¨orningar.

(45)

6.3. AVSLUTANDE DISKUSSION 31

• Pressfit kontakter kr¨aver relativt stora vior t¨att intill varandra p˚a

kortet. Detta leder till ¨okad kapacitans. Genom att maximera

an-tipadarna runt viorna till de differentiella paren minimeras kapaci-tansen.

Det finns en hel del bra designr˚ad i [22], speciellt intressant ¨ar Kapitel 10 till och med Kapitel 14 d¨ar m˚anga bra tips finns p˚a hur ett kort b¨or designas f¨or att uppn˚a h¨og prestanda p˚a data¨overf¨oringen.

6.3

Avslutande diskussion

Arbetet att g˚a fr˚an id´e till f¨ardigt prototypkort inneh˚aller m˚anga olika delar. En hel del tid g˚ar ˚at till att ordna med komponenter och hitta lever-ant¨orer till dessa. Kontakten med leverant¨orer tar ofta mer tid i anspr˚ak ¨

an vad som f¨orst f¨orv¨antas, d˚a leveranser eller utlovade besked uteblir. N¨ar det g¨aller att s¨atta sig in i en ny standard och ett helt nytt omr˚ade un-derl¨attar det om l¨amplig v¨agledning och en introduktion p˚a omr˚adet kan erbjudas. Det kan annars vara sv˚art att komma in p˚a r¨att sp˚ar och hit-ta en l¨amplig arbetsmetod tillr¨ackligt snabbt som n˚ar fram till de ¨onskade m˚alen. Nedanst˚aende stycke tydligg¨or upplevda sv˚arigheter lite mer i detalj. Flera sv˚arigheter st¨ottes p˚a under projektets g˚ang. Att hitta och s˚alla bland l¨amplig och tillf¨orlitlig information var en av dessa. Eftersom h¨ oghastighets-¨

overf¨oring med Compact PCI Express-l¨osningar ¨ar relativt nytt s˚a upplevde jag som ny inom omr˚adet att det var sv˚art att hitta tillg¨angliga specifika-tioner som t¨ackte mitt behov av fakta. Allt eftersom examensarbetet fort-skred tycktes mer information dessutom ha blivit tillg¨anglig, n˚agot som uppt¨acktes i slutskedet av arbetet. Detta har med den fakta som blivit k¨and nu inneburit att vissa ¨andringar som borde ha genomf¨orts uteblivit. En f¨orklaring till det ¨ar att uppgiftens senare genomf¨orda delmoment tagit uppm¨arksamhet fr˚an tidigare genomf¨orda moment och att d˚a inte n˚agon ny information s¨okts till de f¨oreg˚aende delarna.

Att kunna ¨overblicka projektets olika delar och f¨orst˚a inneb¨orden av del-momenten var ocks˚a en av sv˚arigheterna. Det ursprungliga projektet som helhet kan ses som mycket omfattande, d˚a allt fr˚an design och tillverkning av kretskort, till data¨overf¨oring fr˚an kort till PC, och hantering av data med hj¨alp av LabView, ingick i uppgiften. I och med det kom utmaningen att, p˚a ett bra s¨att, kunna disponera tiden och ¨overblicka delmomenten. N˚agot som visade sig bli extra sv˚art d˚a kunskap om PCI Express varken fanns inom SP Devices eller hos mig som examensarbetare. Redan efter en m˚anad hade den prelimin¨art uppsatta tidsdispositionen ¨overgetts. Det fick till f¨oljd att det blev ¨an sv˚arare att avg¨ora hur mycket tid som skulle l¨aggas p˚a en enskild detalj och hur pass ing˚aende denna detalj skulle stud-eras f¨or att hinna n˚a m˚alet p˚a utsatt tid. Att g¨ora avv¨agningen att inte g˚a

(46)

32

in mer i detalj ¨an vad som kr¨avdes f¨or att l¨osa uppgiften uppfattade jag som sv˚art, eftersom det kunde resultera i att vissa moment gicks igenom f¨or ¨oversk˚adligt, n˚agot som i sin tur kunde f˚a f¨oljden att viktiga detaljer som skulle komma att p˚averka slutresultatet av arbetet f¨orbis˚ags.

Ytterligare en sv˚arighet har varit att ta reda p˚a om IP-k¨arnan fr˚an PLDA skulle fungera p˚a prototypkortet. I den inledande delen av testningsfasen antogs det att koden som f¨ojde med utvecklingskortet fr˚an PLDA skulle fungera p˚a mitt kort men med vissa modifieringar i UCF-filen. I kontak-ten med PLDA kom senare olika besked ang˚aende IP-blocket. Det f¨orsta beskedet g¨allde att IP-k¨arnan skulle fungera p˚a kortet under maximalt en timma. Efter en tid meddelades det att IP-k¨arnan inte alls skulle g˚a att k¨ora p˚a kortet. Men efter n˚agra veckors itererande mellan mig och PLDA visade det sig att en senare version av IP-k¨arnan skulle finnas tillg¨anglig att ladda ner fr˚an deras hemsida och den skulle enligt besked fungera p˚a mitt kort.

Det har tidigare n¨amnts att examensarbetet inneh¨oll m˚anga olika delar. En stor del av arbetet kom att handla om caddning och att snabbt l¨ara sig ett helt nytt designverktyg. Vi var fler p˚a f¨oretaget som skulle cadda sam-tidigt men tillg˚ang till programvaran begr¨ansades av en licens, vilket fick till f¨oljd att caddarbetet till f¨orsta kortet p˚ab¨orjade i Protel, en ¨aldre version till Althium. F¨oljdfel uppstod d˚a designen senare importerades i Althium, vilket ocks˚a gjorde att designen hade sv˚art att klara DRC (Design Rule Check). Sv˚arigheten h¨ar l˚ag i att snabbt l¨ara sig de b˚ada designverktygen och att f˚a effektivitet i caddandet.

(47)

Litteraturf¨

orteckning

[1] P. Andr´e and B. Weir. A/D- och D/A-omvandlare beh¨over en

ren klocka. Elektronik i Norden, (1), 2003. http://i.cmpnet.com/

edtn/europe/elektronik/pdf/2003/01sid22.pdf.

[2] D. Brooks. 90 degree corners. Printed Circuit Design Magazine, Jan-uari 1998. http://www.ultracad.com/articles/90deg.pdf.

[3] A. Brown. Inova Computers. Fr˚an Compact PCI till Compact PCI

Express. Elektronik i Norden, (1):39,40, 2006. [4] Elprint. http://www.elprint.com.

[5] G. Melcher, A. Hopper, and B. Schafferer. Austria Mikro Systeme. Brus och ¨Overh¨orningn I Analog-Digitala system. Bearbetning och ¨

overs¨attning, Lars Snith, http://www.snith.nu/lars/cv/brus.pdf.

[6] National Instruments. PXI Express Specification Tutorial.

http://zone.ni.com/devzone/cda/tut/p/id/2876.

[7] National Semiconductor. LVDS Owner’s Manual Low-Voltage Differ-ential Signaling, 3rd edition edition, 2004.

[8] Partnertech. http://partnertech.com.

[9] PCI Industrial Computer Manufactures Group. http://www.picmg.org.

[10] PCI Industrial Computer Manufactures Group. Compact PCI Ex-press - the logical next step. http://www.picmgeu.org/whats new/ picmg europe flyer cpci exp.pdf.

[11] PCI Industrial Computer Manufactures Group. CompactPCI Express. PCI Industrial Computer Manufactures Group, version 1.0 edition, Juni 2005.

[12] PCI Special Interest Group. http://www.pcisig.org. [13] PLDA. http://plda.com.

(48)

34

[14] PXI System Alliance. PXI Express Hardware Specification PCI EX-PRESS eXtensions for Instrumentation An Implementation of Com-pactPCI Express, version 1.0 edition, Augusti 2005.

[15] Texas Instruments. REG104-A, DMOS 1A Low-Dropout Regulator, September 2001.

[16] Texas Instruments. PTH08080W, 2.25-A, WIDE-INPUT

AD-JUSTABLE SWITCHING REGULATOR, Februari 2005. [17] Texas Instruments. ADS5547, Maj 2006.

[18] Xilinx. http://www.xilinx.com.

[19] Xilinx, http://www.xilinx.com/support/documentation/boards and kits/ML555R1 Schematics.pdf. Schematic, Oktober 2006.

[20] Xilinx, http://www.xilinx.com/support/documentation/user guides/

ug203.pdf. RocketIO Transceiver User Guide, version 3.0 edition,

Februari 2007.

[21] Xilinx, http://www.xilinx.com/support/documentation/user guides/ ug203.pdf. Virtex-5 Designer´s Guide, version 1.1 edition, Augusti 2007.

[22] Xilinx, http://www.xilinx.com/support/documentation/user guides/ ug196.pdf. Virtex-5 RocketIO GTP Transceiver User Guide, version 1.2 edition, September 2007.

[23] Xilinx. Designing a LogiCORE PCI Express System. Intern kurs p˚a

(49)

Kapitel 7

Appendix

(50)

Bill of materials Compact PCI Express DAQ

Source Data From: 2ADC_Test_PCB_PXI_2.PrjPcb Project: 2ADC_Test_PCB_PXI_2.PrjPcb

Report Date: 2008-01-28 19:57:45

Print Date: 28-Jan-08 8:03:14 PM

Comment Footprint Description

12V PIN-1.0 100n 0402 SMD capacitor 100n 0603 SMD capacitor 1.5n 0603 SMD capacitor 220n 0603 SMD capacitor 22p 0603 SMD capacitor 4.7n 0603 SMD capacitor 2.2µ 0603 SMD capacitor 100µ POLCAP1 3.3p 0603 SMD capacitor 0.1µ 0603 SMD capacitor 220n 0402 SMD capacitor 0.01µ 0603 SMD capacitor RED 0603-DIODE GREEN 0603-DIODE GND PIN-1.0

JTAG_XILINX MOLEX-2X7-2MM JTAG interface, xilinx

6PIN PINHEADER2X3_SMALL 3x2 pin header

COAX_CON SMA_CON

POWERCON_2.0MM POWERCON_2.0MM Power connector 2.0mm pin / 6.5mm hole

FERRITE SMD 0603 Ferrite 700mA 220ohm 0603

BLM11A102S 0603

FERRITE FERRITE

LOGO LOGO1 - SILKSCREEN SPD Logotype

SKHMPA PUSHBUTTON - SKHMPA Standard pushbutton (Short while press)

PXI_EXPRESS_CON_EHM_FEM PXI_EXPRESS_CON_EHM_FEM PXI_XJ4_CONNECTOR

PXI_EXPRESS_CON_ADF_FEM PXI_EXPRESS_CON_ADF_FEM PXI_XJ3_CONNECTOR

22 0603 SMD resistor 56.2k 0603 SMD resistor 10K 0603 SMD resistor 1K 0603 SMD resistor 33R 0603 SMD resistor 4.7k 0603 SMD resistor 10M 0603 SMD resistor 82 0603 SMD resistor 51k 0603 SMD resistor 300 0603 SMD resistor 75 0603 SMD resistor 2.7k 0603 SMD resistor 5.6k 0603 SMD resistor 360 0603 SMD resistor 33 0603 SMD resistor 56 0603 SMD resistor 150 0603 SMD resistor 62R 0603 SMD resistor 62 0603 SMD resistor 100 0603 SMD resistor 10 0603 SMD resistor 25 0603 SMD resistor 100 0402 SMD resistor 2.0k 0603 SMD resistor 1.3k 0603 SMD resistor 82k 0603 SMD resistor 6.8k 0603 SMD resistor 8.2k 0603 SMD resistor 33k 0603 SMD resistor 160k 0603 SMD resistor 33 0402 SMD resistor 50 0603 SMD resistor

DIL-SWITCH-6 DIL-SWITCH-6 6 pole DIL-switch

WBC1-1TLB WBC1-1TLB 1:1 transformer (Coilcraft)

ADT1-1WT ADT1-1WT

ADS5547_LVDS QFN-48 14 bit 190MSPS ADC

XC5VLX50T FF665 LX50T

AT45DBXX1Y SO-8-COMBI AT45DBXX1Y FLASH. 161B, 161D, 321B, 321C

PTH08080W MODULE_PTH 3x2 pin header

CDCP1803 QFN-24

REG104_A TO-263-5 Reg104

CFPS-73 32MHz CFPS-73 C-MAC CFPS-73 Oscillator

STM811 SOT143-4 Reset trigger/debouncer

CY7C68001 CY7C68001_SSOP56 Cypress EZ-USB SX2

USB_B USB_TYPE_B usb socket type b (AMP)

24MHz XTAL1

(51)

Kretsar och kontakter

Beteckning

Typ av krets

Antal

Återförsäljare

Webbadress

Fabrikat

Artikelnummer

Nettostyckpris

WBC1-1TLB Transformator 2 st Coilcraft www.coilcraft.com Coilcraft WBC1-1TLB Free sample

ADT1-1WT Transformator 1 st Mini-Circuits www.minicircuits.com Mini-Circuits ADT1-1WT 14,95 USD

ADS5547 ADC 2 st Silica www.silica.com TI ADS5547 215,90 USD

XC5VLX50T FPGA 1 st Silica www.silica.com Xilinx XC5VLX50T-1FF665CES 4 169 SEK

AT45DBXX1Y Flashminne 1 st Farnell www.farnell.se Atmel 1455040 26,98 SEK

PTH08080W Regulator sw 4 st Farnell www.farnell.se TI 1295824 96,36 SEK

CDCP1803 Klockbuffer 1 st Digikey www.digikey.com TI 1407526 39,76 SEK

REG104_A Regulator li 1 st Silica www.silica.com TI REG104FA-AKTTT 103 SEK

CFPS-73 32MHz Oscillator 1 st ELFA www.elfa.se CMAC 74-596-05 65,80 SEK

STM811 Reset 1 st ELFA www.elfa.se ST Microelectronics 73-456-64 4,76 SEK

CY7C68001 USB-krets 1 st ARROW www.arrowne.com CYPRESS CY7C68001-56PVXC 40,75 SEK

24MH Oscillator 1 st ELFA elfa se CMAC 74 521 70 17 90 SEK

24MHz Oscillator 1 st ELFA www.elfa.se CMAC 74-521-70 17,90 SEK

eHM Kontakt 1 st Satco Komponent AB www.satco.se ERNI 214443 Free sample

ADF Kontakt 1 st Satco Komponent AB www.satco.se ERNI 973028 Free sample

FERRITE SMD Ferriter 18 st Digikey www.digikey.com 240-2368-1-ND 0,075 USD

PXIE-1062 Chassi 1 st National Instruments www.ni.com NI 779633-01 20 900 SEK

(52)

ADC1.sch

INK 2 CPCIE

1.0

2008-01-28

Date:

Title

Product number and revision Document Revision

Sheet 2 of 10

Cannot open file logo.bmp VCMOUT/REFIN 13 D R G N D 1 D R V D D 2 O V R 3 C L K O U T M 4 C L K O U T P 5 D F S 6 O E 7 A V D D 8 A G N D 9 C L K P 1 0 C L K M 1 1 A G N D 1 2 AGND 14 INP 15 INM 16 AGND 17 AVDD 18 AGND 19 AVDD 20 IREF 21 AVDD 22 MODE 23 AVDD 24 A G N D 2 5 A V D D 2 6 S E N 2 7 S D A T A 2 8 S C L K 2 9 R E S E T 3 0 N C 3 1 N C 3 2 D 0 _ D 1 _ M 3 3 D 0 _ D 1 _ P 3 4 D R V D D 3 5 D R G N D 3 6 D2_D3_M 37 D2_D3_P 38 D4_D5_M 39 D4_D5_P 40 D6_D7_M 41 D6_D7_P 42 D8_D9_M 43 D8_D9_P 44 D10_D11_M 45 D10_D11_P 46 D12_D13_M 47 D12_D13_P 48 G N D 4 9 U2 ADS5547_LVDS GND AVCC GND VCC33 GND AVCC AVCC GND VCC33 GND A D C 1 _ C L K _ N A D C 1 _ C L K _ P ADC1_INP ADC1_INN ADC_VREF R7 56.2k GND C26 100n C28 100n C33 100n C30 100n C24 100n C22 100n C23 100n GND AVCC GND VCC33 Configuration:

RESET set to GND via FPGA or internal pull down. (Serial only configuration.) OE set to VDD via internal pull up resistor. (Enable outputs.)

SDATA to FPGA (Serial operation.) SEN to FPGA (Serial operation.) SCLK to FPGA (Serial operation.) DFS set to GND directly . (Serial configuration.) MODE set to GND directly. (Serial configuration.) C25 100n C31 100n C32 100n C27 100n C29 100n GND R5 22 ADC1_CLKOUT_P ADC1_CLKOUT_N ADC1_OVR ADC1_D12_D13_P ADC1_D12_D13_M ADC1_D10_D11_P ADC1_D10_D11_M ADC1_D8_D9_P ADC1_D8_D9_M ADC1_D6_D7_P ADC1_D6_D7_M ADC1_D4_D5_P ADC1_D4_D5_M ADC1_D2_D3_P ADC1_D2_D3_M ADC1_D0_D1_P ADC1_D0_D1_M ADC1_RESET ADC1_SCLK ADC1_SDATA ADC1_SEN ADC1_OE ADC1_D0_D1_P ADC1_D0_D1_M ADC1_D2_D3_M ADC1_D2_D3_P ADC1_D4_D5_M ADC1_D4_D5_P ADC1_D6_D7_M ADC1_D6_D7_P ADC1_D8_D9_M ADC1_D8_D9_P ADC1_D10_D11_M ADC1_D10_D11_P ADC1_D12_D13_M ADC1_OVR ADC1_CLKOUT_N ADC1_CLKOUT_P ADC1_OE ADC1_RESET ADC1_SCLK ADC1_SDATA ADC1_SEN ADC1_D12_D13_P R105 100 C169 100n GND A D C 1 _ C L K _ N A D C 1 _ C L K _ P

(53)

ADC2.sch

INK 2 CPCIE

1.0

2008-01-28

Date:

Title

Product number and revision Document Revision

Sheet 3 of 10

Cannot open file logo.bmp VCMOUT/REFIN 13 D R G N D 1 D R V D D 2 O V R 3 C L K O U T M 4 C L K O U T P 5 D F S 6 O E 7 A V D D 8 A G N D 9 C L K P 1 0 C L K M 1 1 A G N D 1 2 AGND 14 INP 15 INM 16 AGND 17 AVDD 18 AGND 19 AVDD 20 IREF 21 AVDD 22 MODE 23 AVDD 24 A G N D 2 5 A V D D 2 6 S E N 2 7 S D A T A 2 8 S C L K 2 9 R E S E T 3 0 N C 3 1 N C 3 2 D 0 _ D 1 _ M 3 3 D 0 _ D 1 _ P 3 4 D R V D D 3 5 D R G N D 3 6 D2_D3_M 37 D2_D3_P 38 D4_D5_M 39 D4_D5_P 40 D6_D7_M 41 D6_D7_P 42 D8_D9_M 43 D8_D9_P 44 D10_D11_M 45 D10_D11_P 46 D12_D13_M 47 D12_D13_P 48 G N D 4 9 U1 ADS5547_LVDS GND AVCC GND VCC33 GND AVCC AVCC GND VCC33 GND A D C 2 _ C L K _ N A D C 2 _ C L K _ P ADC2_INP ADC2_INN ADC_VREF R6 56.2k GND Configuration:

RESET set to GND via FPGA or internal pull down. (Serial only configuration.) OE set to VDD via internal pull up resistor. (Enable outputs.)

SDATA to FPGA (Serial operation.) SEN to FPGA (Serial operation.) SCLK to FPGA (Serial operation.) DFS set to GND directly . (Serial configuration.) MODE set to GND directly. (Serial configuration.)

C5 100n C7 100n C6 100n C9 100n C3 100n C1 100n C2 100n GND AVCC GND VCC33 C4 100n C10 100n C11 100n C8 100n C12 100n GND R1 22 ADC2_CLKOUTP ADC2_CLKOUTM ADC2_OVR ADC2_D12_D13_P ADC2_D12_D13_M ADC2_D10_D11_P ADC2_D10_D11_M ADC2_D8_D9_P ADC2_D8_D9_M ADC2_D6_D7_P ADC2_D6_D7_M ADC2_D4_D5_P ADC2_D4_D5_M ADC2_D2_D3_P ADC2_D2_D3_M ADC2_D0_D1_P ADC2_D0_D1_M ADC2_RESET ADC2_SCLK ADC2_SDATA ADC2_SEN ADC2_OE ADC2_D0_D1_P ADC2_D0_D1_M ADC2_D2_D3_M ADC2_D2_D3_P ADC2_D4_D5_M ADC2_D4_D5_P ADC2_D6_D7_M ADC2_D6_D7_P ADC2_D8_D9_M ADC2_D8_D9_P ADC2_D10_D11_M ADC2_D10_D11_P ADC2_D12_D13_M ADC2_OVR ADC2_CLKOUTM ADC2_CLKOUTP ADC2_OE ADC2_RESET ADC2_SCLK ADC2_SDATA ADC2_SEN ADC2_D12_D13_P R104 100 C168 100n GND A D C 2 _ C L K _ P A D C 2 _ C L K _ N

References

Related documents

F¨or att f¨orvissa oss om att s˚ a ¨ar fallet g¨or vi oss en bild av situationen

Man kan faktiskt g¨ora ett konfidensintervall f¨or medianen med konfidensgrad minst lika med 1 − α helt utan n˚ agra som helst antaganden om den bakom- liggande f¨ordelningen

Till exempel fick jag inte med n˚ agot Ljus- och Optikland i f¨ orsta f¨ ors¨ oket, och pilen mot Kosmologi, som ligger utanf¨ or den h¨ ar kartan, borde peka mer upp˚ at,

L¨ osningen till uppgift 2(b)(ii) fr˚ an provduggan Vi m˚ aste visa tv˚ a

Po¨ angen p˚ a godk¨ anda duggor summeras och avg¨ or slutbetyget.. L¨ osningarna skall vara v¨ almotiverade och

Rutinen som anv¨ands f¨ or att definiera operatorn, kan ha antingen ett eller tv˚ a argument, men eftersom funktionen normalt definieras i samma modul som inneh˚

F¨or n˚agot st¨orre stickprov (en tum- regel ¨ar storlekar st¨orre ¨an 15, se IPS sidan 463) r¨acker det med att variabeln ¨ar symmetrisk och att det inte finns n˚agra

Matematiska institutionen Stockholms